Md. Shazzad Hossain - Academia.edu (original) (raw)
Papers by Md. Shazzad Hossain
Acta Scientifica Malaysia
Eusocial insects display caste structures in which reproductive ability is possessed by a single ... more Eusocial insects display caste structures in which reproductive ability is possessed by a single or a few queens while all other colony members act as workers. In social insects like ants, bees, and termites, vital physiological processes are regulated at the colony scale. Females in social insects have at least one reproductive caste and one nonreproductive caste; many termites have at least two male castes. The castes have considerable anatomical, physiological, and behavioural differences in higher social insects. Organismal systems, such as pheromone sensing, hormone signaling, and brain signaling pathways, are deployed in novel circumstances to impact nestmate and colony behaviours due to physiological decentralization over evolutionary time. Significant morphogenesis with region-specific cellular proliferation and degradation occurs during soldier development through two moulting via a presoldier stage in termite. JH action has been developed, in which a high JH titer causes s...
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design
In this paper, algorithmic and circuit techniques are proposed for dynamic power management that ... more In this paper, algorithmic and circuit techniques are proposed for dynamic power management that allows for the reuse of the leakage current of idle circuit blocks and cores in a multiprocessor system-on-chip platform. First, a novel scheduling algorithm, longest idle time - leakage reuse (LIT-LR), is proposed for energy efficient reuse of leakage current, which generates a supply voltage of 340 mV with less than ±3% variation across the tt, ff, and ss process corners. The LIT-LR algorithm reduces the energy consumption of the leakage control blocks and the peak power consumption by, respectively, 25% and 7.4% as compared to random assignment of idle cores for leakage reuse. Second, a novel usage ranking based algorithm, longest idle time - simultaneous leakage reuse and power gating (LIT-LRPG), is proposed for simultaneous implementation of power gating and leakage reuse. Applying power gating with leakage reuse reduces the total energy consumption of the MPSoC by 50.2%, 14.4%, and 5.7% as compared to, respectively, a baseline topology that includes neither leakage reuse or power gating, only includes power gating, and only includes leakage reuse.
International Journal of Physical Modelling in Geotechnics
Cone penetrometer test is widely used for in-situ site investigations and for establishing direct... more Cone penetrometer test is widely used for in-situ site investigations and for establishing direct penetrometer to foundation or anchor design correlations. This paper focuses on the soil flow mechanisms during the continuous penetration of a cone penetrometer in layered clays. A series of centrifuge tests was conducted with the cone penetrating through soft-stiff, stiff-soft, soft-stiff-soft, and stiff-soft-stiff clay profiles. Particle image velocimetry allowed accurate resolution of the soil flow mechanism around the cone where a half cone model was penetrated into layered clays against a transparent window. The observed soil movement was compared with both previous observations for pile/cone, and with movement from shallow strain path method (SSPM). The comparison with SSPM results showed that SSPM can provide reasonable evaluations on maximum lateral and vertical displacements even though the upheave movement can be overestimated. The effect of soil layering on the failure mecha...
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2021
The exploration of custom deep neural network (DNN) accelerators for highly energy constrained ed... more The exploration of custom deep neural network (DNN) accelerators for highly energy constrained edge devices with on-device intelligence is gaining traction in the research community. Despite the superior throughput and performance of custom accelerators as compared to CPUs or GPUs, the energy efficiency and versatility of state-of-the-art DNN accelerators is constrained due to a) the storage and movement of a large volume of data and b) the limited scope of monolithic architectures, where the entire accelerator executes only a single model at any given time. In this paper, a multi-voltage domain heterogeneous DNN accelerator is proposed that executes multiple models simultaneously with different power-performance operating points. The proposed architecture concurrently implements near-memory computing and leakage reuse, where the leakage current of idle memory banks within each processing element is utilized to deliver current to the adjacently placed multiply-and-accumulate (MAC) units. The proposed architecture and circuit techniques are evaluated with SPICE simulation in a 65 nm CMOS technology. The simulation results indicate that the proposed heterogeneous architecture with leakage reuse results in an energy efficiency of 3.27 tera-operations per second per watt (TOPS/W) as compared to a conventional monolithic and single voltage domain architecture that exhibits an energy efficiency of 0.0458 TOPS/W. In addition, the proposed accelerator that implements the leakage reuse technique on only half of the memory elements storing the weights reduces the power consumption of the sub-arrays of processing elements by 26% (99.4 mW) as compared to an accelerator that does not apply leakage reuse.
2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS), 2017
Interfacing techniques for near-threshold computing are described in this paper. A bi-directional... more Interfacing techniques for near-threshold computing are described in this paper. A bi-directional input/output circuit with integrated level shifters is proposed for multiple near-threshold power domains. The circuit provides conversion ranges of 0.38 V to 1.2 V and 0.45 V to 3.3 V depending on the targeted output voltage. Eight different configurations of I/O circuits are evaluated with level shifters implemented with a standard current mirror, cross coupled, and the proposed single ended topology. The use of a single ended level shifter provides the optimum power-delay point. The I/O circuit, at a core voltage of 0.45 V, consumes 4.87 mW with a fanout of four (FO4) delay of 0.46 for a VDOUT and VPAD voltage of, respectively, 0.45 V and 3.3 V. For a VDD, L of 0.625 V and VDD, H of 1.5 V, the delay and total power consumption of the single ended level shifter are, respectively, 0.74x and 0.4x that of a current mirror level shifter.
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018
An optimization technique is proposed to set the supply voltage of an integrated circuit for a gi... more An optimization technique is proposed to set the supply voltage of an integrated circuit for a given range of threshold voltages. The algorithm accounts for the variations in maximum operating frequency f<sub>max</sub>, noise margins, and threshold voltage. The algorithmically determined supply and threshold voltages are compared with SPICE simulation for a 130 nm CMOS technology, where per cent error of up to 14% and 8% are observed for, respectively, the average noise margins NM<sub>avg</sub> and f<sub>max</sub> as compared to target circuit specifications for noise margin and frequency. The evaluated ranges of the supply and threshold voltages are, respectively, 200 mV ≤ V<sub>dd</sub> ≤ 1200 mV and 250 mV ≤ V<sub>t</sub> ≤ 700 mV. The technique is applied to both a 130 nm and 45 nm CMOS technology and results of noise margin and frequency are compared through SPICE simulation. The 45 nm technology node exhibits variation of up to 0.89× and 4.3× in, respectively, NM<sub>avg</sub> and f<sub>max</sub> as compared to an inverter in a 130 nm technology.
2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2021
The exploration of custom deep neural network (DNN) based accelerators for highly energy constrai... more The exploration of custom deep neural network (DNN) based accelerators for highly energy constrained edge devices with on-device intelligence is gaining traction in the research community. Despite the superior throughout and performance of custom accelerators as compared to CPUs or GPUs, the energy efficiency and versatility of state-of-the-art DNN accelerators is constrained due to the limited scope of monolithic architectures, where the entire accelerator executes only one model at any given time. In this paper, a multi-voltage domain heterogeneous DNN accelerator architecture is proposed that simultaneously executes multiple models with different power-performance operating points. The proposed architecture and circuits are evaluated with SPICE simulation in a 65 nm CMOS technology. The simulation results indicate that the proposed heterogeneous architecture improves the energy efficiency to 2.04 TOPS/W, while the conventional monolithic and single voltage domain architecture exhibits an energy efficiency of 0.0458 TOPS/W. In addition, the total power consumption of the accelerator SoC is reduced to 1.34 W as compared to the 3.72 W consumed by the baseline architecture when all multiply-and-accumulate (MACs) units operate at a voltage of 0.45 V.
Offshore Site Investigation Geotechnics 8th International Conference Proceedings
Challenges and Innovations in Geomechanics, 2021
The tip resistance measured within the cone penetration test (CPT) can be used to predict the pil... more The tip resistance measured within the cone penetration test (CPT) can be used to predict the pile tip resistance under axial loading, due to the geometric similarity. Most of the existing correlations were established in terms of siliceous sands, while the data for calcareous sands are limited. Calcareous sands in situ are featured with higher peak internal friction angle, but the strength reduction may be significant due to particle breakage. In this paper, a large deformation finite element approach, the Abaqus finite element package utilizing the Arbitrary Lagrangian Eulerian method (ALE) is used to study cone penetration in calcareous sands. A constitutive model proposed by Yin et al. (2016) and Wu et al. (2017) is incorporated into ALE to describe calcareous sands. The CPT in silicon sands is replicated by a modified Mohr-Coulomb model as well for comparison purpose. Frequent mesh generations are conducted in ALE, to avoid distortion of soil elements around the cone tip. The numerical results of cone tip resistance agree reasonably well with the existing data from centrifuge tests. It demonstrates that the modified Mohr-Coulomb and SIMSAND-Br models have potential to capture the behaviors of silica and calcareous sands. The cone resistance in calcareous sands is found to be affected remarkably by particle breakage around the cone.
Microelectronics Journal, 2020
Please cite this article as: M.S. Hossain, I. Savidis, Dynamic differential signaling based logic... more Please cite this article as: M.S. Hossain, I. Savidis, Dynamic differential signaling based logic families for robust ultra-low power near-threshold computing,
3. Each Project unit will have a 12 point weighting out of about 48 points for the year. Since th... more 3. Each Project unit will have a 12 point weighting out of about 48 points for the year. Since this is a unit equivalent to a quarter of the total year’s work, each student is expected to devote at least the equivalent amount of time to the project throughout the whole year. You cannot expect to get a high grade in your Project unless you put the appropriate effort (and time commitment) into this unit.
The paper reports results of large deformation finite element (FE) analyses and centrifuge model ... more The paper reports results of large deformation finite element (FE) analyses and centrifuge model tests undertaken to provide a better understanding of spudcan foundation resistance during undrained vertical penetration through a stronger clay layer into weaker material, with potential for punch-through. The FE analyses have simulated continuous penetration of smooth and rough spudcan foundations from the seabed surface, varying the strength ratio between lower and upper soil layers, the thickness of the upper layer relative to the spudcan diameter and the strength gradient of the lower layer. In order to validate the numerical results, centrifuge modelling was undertaken (a) with a half-spudcan model penetrated against a transparent window, to allow visualisation of the soil flow mechanisms and (b) with fullspudcan models to quantify the load-penetration response. Overall, good agreement was obtained between the results from FE analyses and centrifuge tests. A peak in penetration re...
2018 Ninth International Green and Sustainable Computing Conference (IGSC), 2018
In this paper, the co-design of the clock and power delivery networks is proposed for ultra-low p... more In this paper, the co-design of the clock and power delivery networks is proposed for ultra-low power IoT applications operating in sub-threshold. A distributed, multi-voltage domain and hierarchical power distribution network is proposed to deliver current to the clock buffers, registers, and combinational circuits in local clock distribution networks. The variation of the clock skew, setup time, hold time, and clock-to-q delay are analyzed under process and supply voltage variation. The effect on timing due to supply and process variation is analyzed for a target operating voltage and frequency of, respectively, 250 mV and 2 MHz in a 130 nm CMOS technology. The minimum clock period, skew, and insertion delay are reduced to, respectively, 0.74×, 0.52×, and 0.79× when optimized sub-threshold buffers are implemented, as compared and normalized to a clock network that includes non-optimized buffers. In addition, the co-designed clock and power networks were resilient to as much as 10% variation in the supply voltage when the proposed multi-voltage domain and distributed power distribution network is used with the optimized clock buffers.
In this paper, novel circuit techniques for near-threshold computing are developed for improved p... more In this paper, novel circuit techniques for near-threshold computing are developed for improved power, performance, and robustness to noise. Three differential signaling based inverters are proposed which outperform CMOS and current-mode logic (CML) operating at near-threshold. The proposed circuits are described as dynamic current-mode logic (DCML), latched DCML (LDCML), and stacked DCML (SDCML). Characterization of the different logic types including CMOS, CML, and the proposed DCML logic families is performed for area, power, performance, and noise margins at both the nominal and near-threshold operating voltages. At near-threshold voltages, the proposed DCML inverter reduces the total power consumption by 32% as compared to a CMOS inverter also operating at near-threshold. The use of a DCML inverter also reduces the total power consumption by 92% as compared to a CML inverter operating at near-threshold. In addition, at near-threshold voltages, both the noise margins of an LDCML inverter are improved by more than 1.4x and the static power consumption of an SDCML inverter is reduced by 88% as compared to a CMOS inverter.
Large deformation finite element analyses were carried out to investigate the optimized trench ge... more Large deformation finite element analyses were carried out to investigate the optimized trench geometry for 24 inch (610 mm) diameter subsea pipelines and power cables (33 kV; outer diameter = 186 mm) used for transporting gas in shallow water depth (approximately 15 m), with an emphasis being on pipe-soil interaction assessment. An extensive parametric investigation was performed varying the relative density of the backfill sand (35%, 54% and 78%) and buried depth (1.89 and 3.14 diameters). Upward and lateral soil failure mec hanisms around a pipe uplifting in a backfill sand layer were compared. The failure mechanisms were manifested by shear band formation and subsequent propagation. It was shown that the trench dimensions originally designed based on conventional conservative approaches can be reduced by up to 10.6% in loose backfill sand (ID = 35%) and 8.7% in dense backfill sand (ID = 78%), leading to minimize cost and time of trenching and backfilling.
Applied Ocean Research, 2021
Abstract This paper reports the behaviour of caisson anchors under vertical-horizontal (V-H) load... more Abstract This paper reports the behaviour of caisson anchors under vertical-horizontal (V-H) loadings in medium dense to dense sand. The three dimensional finite element (3D-FE) analyses are carried out using a modified Mohr-Coulomb (MMC) soil model to capture the stress dependent hardening – softening behaviour of sands. The results are validated against centrifuge test data prior to undertaking a detailed parametric study, exploring the relevant range of parameters in terms of caisson aspect ratio, padeye location, mooring angle at the padeye and sand relative density. It is found that the caisson anchor failure is governed by the sand relative density, mooring angle and the normalised padeye position. The padeye position not only affects the anchor capacity, but also influences the rotation angle of the caisson at failure. An optimal padeye position inducing minimal rotation at caisson failure is found to lie within the range of 0.6-0.7L, where L is the caisson length. To assess capacity under inclined loading, normalised failure envelopes are presented in the V-H space. A design framework is established to estimate the optimal padeye location and caisson capacity for sands with strain hardening – strain softening characteristics.
Volume 3: Offshore Geotechnics, 2014
Continuous profiles from in-situ penetrometer tests are now identified as essential for site spec... more Continuous profiles from in-situ penetrometer tests are now identified as essential for site specific soil investigation as part of designing offshore structures in deep and ultradeep waters and in highly layered seabed conditions. This paper describes the results from large deformation FE (LDFE) analysis undertaken to provide insight into the behavior of cone penetrometer penetrating through single layer non-homogeneous clays and three-layer uniform soft-stiff-soft clays. For the smooth cone penetration in non-homogeneous clays, the soil strength non-homogeneity factor was shown to have insignificant effect on the cone bearing capacity factor. However, for the rough cone, the bearing capacity factor in non-homogeneous clay was about 10∼12% lower than that in uniform clay. Bearing capacity factors for smooth and rough cones were also similar for non-homogeneous clay. For cone penetration in stratified soft-stiff-soft clays, a minimum layer thickness of 20 diameters was required to m...
Journal of Geotechnical and Geoenvironmental Engineering, 2020
AbstractThis paper describes large deformation finite element (LDFE) analysis of the penetration ... more AbstractThis paper describes large deformation finite element (LDFE) analysis of the penetration of the T-bar penetrometer in uniform clay, identifying soil flow mechanisms around the T-bar, the ex...
Acta Scientifica Malaysia
Eusocial insects display caste structures in which reproductive ability is possessed by a single ... more Eusocial insects display caste structures in which reproductive ability is possessed by a single or a few queens while all other colony members act as workers. In social insects like ants, bees, and termites, vital physiological processes are regulated at the colony scale. Females in social insects have at least one reproductive caste and one nonreproductive caste; many termites have at least two male castes. The castes have considerable anatomical, physiological, and behavioural differences in higher social insects. Organismal systems, such as pheromone sensing, hormone signaling, and brain signaling pathways, are deployed in novel circumstances to impact nestmate and colony behaviours due to physiological decentralization over evolutionary time. Significant morphogenesis with region-specific cellular proliferation and degradation occurs during soldier development through two moulting via a presoldier stage in termite. JH action has been developed, in which a high JH titer causes s...
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design
In this paper, algorithmic and circuit techniques are proposed for dynamic power management that ... more In this paper, algorithmic and circuit techniques are proposed for dynamic power management that allows for the reuse of the leakage current of idle circuit blocks and cores in a multiprocessor system-on-chip platform. First, a novel scheduling algorithm, longest idle time - leakage reuse (LIT-LR), is proposed for energy efficient reuse of leakage current, which generates a supply voltage of 340 mV with less than ±3% variation across the tt, ff, and ss process corners. The LIT-LR algorithm reduces the energy consumption of the leakage control blocks and the peak power consumption by, respectively, 25% and 7.4% as compared to random assignment of idle cores for leakage reuse. Second, a novel usage ranking based algorithm, longest idle time - simultaneous leakage reuse and power gating (LIT-LRPG), is proposed for simultaneous implementation of power gating and leakage reuse. Applying power gating with leakage reuse reduces the total energy consumption of the MPSoC by 50.2%, 14.4%, and 5.7% as compared to, respectively, a baseline topology that includes neither leakage reuse or power gating, only includes power gating, and only includes leakage reuse.
International Journal of Physical Modelling in Geotechnics
Cone penetrometer test is widely used for in-situ site investigations and for establishing direct... more Cone penetrometer test is widely used for in-situ site investigations and for establishing direct penetrometer to foundation or anchor design correlations. This paper focuses on the soil flow mechanisms during the continuous penetration of a cone penetrometer in layered clays. A series of centrifuge tests was conducted with the cone penetrating through soft-stiff, stiff-soft, soft-stiff-soft, and stiff-soft-stiff clay profiles. Particle image velocimetry allowed accurate resolution of the soil flow mechanism around the cone where a half cone model was penetrated into layered clays against a transparent window. The observed soil movement was compared with both previous observations for pile/cone, and with movement from shallow strain path method (SSPM). The comparison with SSPM results showed that SSPM can provide reasonable evaluations on maximum lateral and vertical displacements even though the upheave movement can be overestimated. The effect of soil layering on the failure mecha...
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2021
The exploration of custom deep neural network (DNN) accelerators for highly energy constrained ed... more The exploration of custom deep neural network (DNN) accelerators for highly energy constrained edge devices with on-device intelligence is gaining traction in the research community. Despite the superior throughput and performance of custom accelerators as compared to CPUs or GPUs, the energy efficiency and versatility of state-of-the-art DNN accelerators is constrained due to a) the storage and movement of a large volume of data and b) the limited scope of monolithic architectures, where the entire accelerator executes only a single model at any given time. In this paper, a multi-voltage domain heterogeneous DNN accelerator is proposed that executes multiple models simultaneously with different power-performance operating points. The proposed architecture concurrently implements near-memory computing and leakage reuse, where the leakage current of idle memory banks within each processing element is utilized to deliver current to the adjacently placed multiply-and-accumulate (MAC) units. The proposed architecture and circuit techniques are evaluated with SPICE simulation in a 65 nm CMOS technology. The simulation results indicate that the proposed heterogeneous architecture with leakage reuse results in an energy efficiency of 3.27 tera-operations per second per watt (TOPS/W) as compared to a conventional monolithic and single voltage domain architecture that exhibits an energy efficiency of 0.0458 TOPS/W. In addition, the proposed accelerator that implements the leakage reuse technique on only half of the memory elements storing the weights reduces the power consumption of the sub-arrays of processing elements by 26% (99.4 mW) as compared to an accelerator that does not apply leakage reuse.
2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS), 2017
Interfacing techniques for near-threshold computing are described in this paper. A bi-directional... more Interfacing techniques for near-threshold computing are described in this paper. A bi-directional input/output circuit with integrated level shifters is proposed for multiple near-threshold power domains. The circuit provides conversion ranges of 0.38 V to 1.2 V and 0.45 V to 3.3 V depending on the targeted output voltage. Eight different configurations of I/O circuits are evaluated with level shifters implemented with a standard current mirror, cross coupled, and the proposed single ended topology. The use of a single ended level shifter provides the optimum power-delay point. The I/O circuit, at a core voltage of 0.45 V, consumes 4.87 mW with a fanout of four (FO4) delay of 0.46 for a VDOUT and VPAD voltage of, respectively, 0.45 V and 3.3 V. For a VDD, L of 0.625 V and VDD, H of 1.5 V, the delay and total power consumption of the single ended level shifter are, respectively, 0.74x and 0.4x that of a current mirror level shifter.
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018
An optimization technique is proposed to set the supply voltage of an integrated circuit for a gi... more An optimization technique is proposed to set the supply voltage of an integrated circuit for a given range of threshold voltages. The algorithm accounts for the variations in maximum operating frequency f<sub>max</sub>, noise margins, and threshold voltage. The algorithmically determined supply and threshold voltages are compared with SPICE simulation for a 130 nm CMOS technology, where per cent error of up to 14% and 8% are observed for, respectively, the average noise margins NM<sub>avg</sub> and f<sub>max</sub> as compared to target circuit specifications for noise margin and frequency. The evaluated ranges of the supply and threshold voltages are, respectively, 200 mV ≤ V<sub>dd</sub> ≤ 1200 mV and 250 mV ≤ V<sub>t</sub> ≤ 700 mV. The technique is applied to both a 130 nm and 45 nm CMOS technology and results of noise margin and frequency are compared through SPICE simulation. The 45 nm technology node exhibits variation of up to 0.89× and 4.3× in, respectively, NM<sub>avg</sub> and f<sub>max</sub> as compared to an inverter in a 130 nm technology.
2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2021
The exploration of custom deep neural network (DNN) based accelerators for highly energy constrai... more The exploration of custom deep neural network (DNN) based accelerators for highly energy constrained edge devices with on-device intelligence is gaining traction in the research community. Despite the superior throughout and performance of custom accelerators as compared to CPUs or GPUs, the energy efficiency and versatility of state-of-the-art DNN accelerators is constrained due to the limited scope of monolithic architectures, where the entire accelerator executes only one model at any given time. In this paper, a multi-voltage domain heterogeneous DNN accelerator architecture is proposed that simultaneously executes multiple models with different power-performance operating points. The proposed architecture and circuits are evaluated with SPICE simulation in a 65 nm CMOS technology. The simulation results indicate that the proposed heterogeneous architecture improves the energy efficiency to 2.04 TOPS/W, while the conventional monolithic and single voltage domain architecture exhibits an energy efficiency of 0.0458 TOPS/W. In addition, the total power consumption of the accelerator SoC is reduced to 1.34 W as compared to the 3.72 W consumed by the baseline architecture when all multiply-and-accumulate (MACs) units operate at a voltage of 0.45 V.
Offshore Site Investigation Geotechnics 8th International Conference Proceedings
Challenges and Innovations in Geomechanics, 2021
The tip resistance measured within the cone penetration test (CPT) can be used to predict the pil... more The tip resistance measured within the cone penetration test (CPT) can be used to predict the pile tip resistance under axial loading, due to the geometric similarity. Most of the existing correlations were established in terms of siliceous sands, while the data for calcareous sands are limited. Calcareous sands in situ are featured with higher peak internal friction angle, but the strength reduction may be significant due to particle breakage. In this paper, a large deformation finite element approach, the Abaqus finite element package utilizing the Arbitrary Lagrangian Eulerian method (ALE) is used to study cone penetration in calcareous sands. A constitutive model proposed by Yin et al. (2016) and Wu et al. (2017) is incorporated into ALE to describe calcareous sands. The CPT in silicon sands is replicated by a modified Mohr-Coulomb model as well for comparison purpose. Frequent mesh generations are conducted in ALE, to avoid distortion of soil elements around the cone tip. The numerical results of cone tip resistance agree reasonably well with the existing data from centrifuge tests. It demonstrates that the modified Mohr-Coulomb and SIMSAND-Br models have potential to capture the behaviors of silica and calcareous sands. The cone resistance in calcareous sands is found to be affected remarkably by particle breakage around the cone.
Microelectronics Journal, 2020
Please cite this article as: M.S. Hossain, I. Savidis, Dynamic differential signaling based logic... more Please cite this article as: M.S. Hossain, I. Savidis, Dynamic differential signaling based logic families for robust ultra-low power near-threshold computing,
3. Each Project unit will have a 12 point weighting out of about 48 points for the year. Since th... more 3. Each Project unit will have a 12 point weighting out of about 48 points for the year. Since this is a unit equivalent to a quarter of the total year’s work, each student is expected to devote at least the equivalent amount of time to the project throughout the whole year. You cannot expect to get a high grade in your Project unless you put the appropriate effort (and time commitment) into this unit.
The paper reports results of large deformation finite element (FE) analyses and centrifuge model ... more The paper reports results of large deformation finite element (FE) analyses and centrifuge model tests undertaken to provide a better understanding of spudcan foundation resistance during undrained vertical penetration through a stronger clay layer into weaker material, with potential for punch-through. The FE analyses have simulated continuous penetration of smooth and rough spudcan foundations from the seabed surface, varying the strength ratio between lower and upper soil layers, the thickness of the upper layer relative to the spudcan diameter and the strength gradient of the lower layer. In order to validate the numerical results, centrifuge modelling was undertaken (a) with a half-spudcan model penetrated against a transparent window, to allow visualisation of the soil flow mechanisms and (b) with fullspudcan models to quantify the load-penetration response. Overall, good agreement was obtained between the results from FE analyses and centrifuge tests. A peak in penetration re...
2018 Ninth International Green and Sustainable Computing Conference (IGSC), 2018
In this paper, the co-design of the clock and power delivery networks is proposed for ultra-low p... more In this paper, the co-design of the clock and power delivery networks is proposed for ultra-low power IoT applications operating in sub-threshold. A distributed, multi-voltage domain and hierarchical power distribution network is proposed to deliver current to the clock buffers, registers, and combinational circuits in local clock distribution networks. The variation of the clock skew, setup time, hold time, and clock-to-q delay are analyzed under process and supply voltage variation. The effect on timing due to supply and process variation is analyzed for a target operating voltage and frequency of, respectively, 250 mV and 2 MHz in a 130 nm CMOS technology. The minimum clock period, skew, and insertion delay are reduced to, respectively, 0.74×, 0.52×, and 0.79× when optimized sub-threshold buffers are implemented, as compared and normalized to a clock network that includes non-optimized buffers. In addition, the co-designed clock and power networks were resilient to as much as 10% variation in the supply voltage when the proposed multi-voltage domain and distributed power distribution network is used with the optimized clock buffers.
In this paper, novel circuit techniques for near-threshold computing are developed for improved p... more In this paper, novel circuit techniques for near-threshold computing are developed for improved power, performance, and robustness to noise. Three differential signaling based inverters are proposed which outperform CMOS and current-mode logic (CML) operating at near-threshold. The proposed circuits are described as dynamic current-mode logic (DCML), latched DCML (LDCML), and stacked DCML (SDCML). Characterization of the different logic types including CMOS, CML, and the proposed DCML logic families is performed for area, power, performance, and noise margins at both the nominal and near-threshold operating voltages. At near-threshold voltages, the proposed DCML inverter reduces the total power consumption by 32% as compared to a CMOS inverter also operating at near-threshold. The use of a DCML inverter also reduces the total power consumption by 92% as compared to a CML inverter operating at near-threshold. In addition, at near-threshold voltages, both the noise margins of an LDCML inverter are improved by more than 1.4x and the static power consumption of an SDCML inverter is reduced by 88% as compared to a CMOS inverter.
Large deformation finite element analyses were carried out to investigate the optimized trench ge... more Large deformation finite element analyses were carried out to investigate the optimized trench geometry for 24 inch (610 mm) diameter subsea pipelines and power cables (33 kV; outer diameter = 186 mm) used for transporting gas in shallow water depth (approximately 15 m), with an emphasis being on pipe-soil interaction assessment. An extensive parametric investigation was performed varying the relative density of the backfill sand (35%, 54% and 78%) and buried depth (1.89 and 3.14 diameters). Upward and lateral soil failure mec hanisms around a pipe uplifting in a backfill sand layer were compared. The failure mechanisms were manifested by shear band formation and subsequent propagation. It was shown that the trench dimensions originally designed based on conventional conservative approaches can be reduced by up to 10.6% in loose backfill sand (ID = 35%) and 8.7% in dense backfill sand (ID = 78%), leading to minimize cost and time of trenching and backfilling.
Applied Ocean Research, 2021
Abstract This paper reports the behaviour of caisson anchors under vertical-horizontal (V-H) load... more Abstract This paper reports the behaviour of caisson anchors under vertical-horizontal (V-H) loadings in medium dense to dense sand. The three dimensional finite element (3D-FE) analyses are carried out using a modified Mohr-Coulomb (MMC) soil model to capture the stress dependent hardening – softening behaviour of sands. The results are validated against centrifuge test data prior to undertaking a detailed parametric study, exploring the relevant range of parameters in terms of caisson aspect ratio, padeye location, mooring angle at the padeye and sand relative density. It is found that the caisson anchor failure is governed by the sand relative density, mooring angle and the normalised padeye position. The padeye position not only affects the anchor capacity, but also influences the rotation angle of the caisson at failure. An optimal padeye position inducing minimal rotation at caisson failure is found to lie within the range of 0.6-0.7L, where L is the caisson length. To assess capacity under inclined loading, normalised failure envelopes are presented in the V-H space. A design framework is established to estimate the optimal padeye location and caisson capacity for sands with strain hardening – strain softening characteristics.
Volume 3: Offshore Geotechnics, 2014
Continuous profiles from in-situ penetrometer tests are now identified as essential for site spec... more Continuous profiles from in-situ penetrometer tests are now identified as essential for site specific soil investigation as part of designing offshore structures in deep and ultradeep waters and in highly layered seabed conditions. This paper describes the results from large deformation FE (LDFE) analysis undertaken to provide insight into the behavior of cone penetrometer penetrating through single layer non-homogeneous clays and three-layer uniform soft-stiff-soft clays. For the smooth cone penetration in non-homogeneous clays, the soil strength non-homogeneity factor was shown to have insignificant effect on the cone bearing capacity factor. However, for the rough cone, the bearing capacity factor in non-homogeneous clay was about 10∼12% lower than that in uniform clay. Bearing capacity factors for smooth and rough cones were also similar for non-homogeneous clay. For cone penetration in stratified soft-stiff-soft clays, a minimum layer thickness of 20 diameters was required to m...
Journal of Geotechnical and Geoenvironmental Engineering, 2020
AbstractThis paper describes large deformation finite element (LDFE) analysis of the penetration ... more AbstractThis paper describes large deformation finite element (LDFE) analysis of the penetration of the T-bar penetrometer in uniform clay, identifying soil flow mechanisms around the T-bar, the ex...