eric hendrickx - Academia.edu (original) (raw)
Papers by eric hendrickx
To perform a thorough source optimization during process development is becoming more critical as... more To perform a thorough source optimization during process development is becoming more critical as we move to leading edge-technology nodes. With each new node the acceptable process margin continues to shrink as a result of lowering k1 factors. This drives the need for thorough source optimization prior to locking down a process in order to attain the maximum common depth of focus (DOF) the process will allow. Optical proximity correction (OPC) has become a process-enabling tool in lithography by providing a common process window for structures that would otherwise not have overlapping windows. But what effect does this have on the source optimization? With the introduction of immersion lithography there is yet another parameter, namely source polarization, that may need to be included in an illumination optimization process. This paper explored the effect polarization and OPC have on illumination optimization. The Calibre ILO (Illumination Optimization) tool was used to perform the illumination optimization and provided plots of DOF vs. various parametric illumination settings. This was used to screen the various illumination settings for the one with optimum process margins. The resulting illumination conditions were then implemented and analyzed at a full chip level. Based on these results, a conclusion was made on the impact source polarization and OPC would have on the illumination optimization process.
Design and Process Integration for Microelectronic Manufacturing III, 2005
Proceedings of Spie the International Society For Optical Engineering, Mar 1, 2010
As Extreme ultraviolet (EUV) lithography technology shows promising results below 40nm feature si... more As Extreme ultraviolet (EUV) lithography technology shows promising results below 40nm feature sizes, TOKYO ELECTRON LTD.(TEL) is committed to understanding the fundamentals needed to improve our technology, thereby enabling customers to meet roadmap expectations. TEL continues collaboration with imec for evaluation of Coater/Developer processing sensitivities using the ASML Alpha Demo Tool for EUV exposures. The results from the collaboration help develop the necessary hardware for EUV Coater/Developer processing. In previous work, processing sensitivities of the resist materials were investigated to determine the impact on critical dimension (CD) uniformity and defectivity. In this work, new promising resist materials have been studied and more information pertaining to EUV exposures was obtained. Specifically, post exposure bake (PEB) impact to CD is studied in addition to dissolution characteristics and resist material hydrophobicity. Additionally, initial results show the current status of CDU and defectivity with the ADT/CLEAN TRACK ACTTM 12 lithocluster. Analysis of a five wafer batch of CDU wafers shows within wafer and wafer to wafer contribution from track processing. A pareto of a patterned wafer defectivity test gives initial insight into the process defects with the current processing conditions. From analysis of these data, it's shown that while improvements in processing are certainly possible, the initial results indicate a manufacturable process for EUV.
Proceedings of Spie the International Society For Optical Engineering, Mar 1, 2008
There still remain three major technological lithography options for high volume manufacturing at... more There still remain three major technological lithography options for high volume manufacturing at the 32nm half pitch node: 193nm immersion lithography with high index materials, enabling NA>1.6 193nm double patterning and EUV lithography. In this paper the pros and cons of these three options will be discussed. Particular interest will be paid to the consequences of the final choice on the resist technology. High index 193nm immersion lithography also requires high index resist materials, which are under development but still far removed from the target refractive index and absorbance specifications not to mention lithographical performance. For double patterning the pitch may be relaxed, but the resists still need to be able to print very narrow lines and/or trenches. Moreover, it would be preferred for the resists to support pattern or image freezing techniques in order to step away from the litho-etch-litho-etch approach and make double patterning more cost effective. For EUV the resist materials need to meet very aggressive sensitivity specifications. In itself this is possible, but it is difficult to simultaneously maintain performance in terms of resolution and line width roughness. A new parameter (K LUP) for assessing resist performance in terms of these three performance criteria will be introduced.
Proceedings of Spie the International Society For Optical Engineering, Mar 16, 2007
A series of experiments were designed to probe the interaction between second generation High Ind... more A series of experiments were designed to probe the interaction between second generation High Index Liquids (HIL, n=1.65) and the resist stack. Three different second-generation high index liquids were tested in five experiments: measurement of the contact angle of the liquid with the resist surface; leaching of Photo-Acid Generator (PAG) into the liquid; residue analysis of droplets evaporated from the resist surface; impact of liquid soaking on resist profiles; and imaging through high-index liquids at 72nm pitch. The selected liquids were the main candidates from two potential vendors. In parallel, tests have also been done for water. The tests show that one of the main differences between highindex liquids and water is their much smaller contact angles on the organic photoresist films. This contact angle can be influenced by a topcoat, but currently seen contact angles may force a new immersion hood concept. Imaging was not affected strongly by the high-index liquids. For some liquids, low evaporation rates and a tendency to leave residue on resist were observed, which may require a dedicated liquid removal strategy to reduce defectivity.
Extreme Ultraviolet (EUV) Lithography IV, 2013
Extreme Ultraviolet (EUV) Lithography, 2010
ABSTRACT
Single exposure capable systems for the 32nm 1/2 pitch (HP) node may not be ready in time for pro... more Single exposure capable systems for the 32nm 1/2 pitch (HP) node may not be ready in time for production. At the possible NA of 1.35 still using water immersion lithography, one option to generate the required dense pitches is double patterning. Here a design is printed with two separate exposures and etch steps to increase the pitch. If a 2x increase in pitch can be achieved through the design split, double patterning could thus theoretically allow using exposure systems conceived for the 65nm node to print 32nm node designs. In this paper we focus on the aspect of design splitting and lithography for double patterning the poly layer of 32nm logic cells using the Synopsys full-chip physical verification and OPC conversion platforms. All 32nm node cells have been split in an automated fashion to target different aggressiveness towards pitch reduction and polygon cutting. Every design split has gone through lithography optimization, Optical Proximity Correction (OPC) and Lithography ...
Extreme Ultraviolet (EUV) Lithography III, 2012
ABSTRACT As Extreme Ultraviolet Lithography (EUVL) enters the pre-production phase, the need to q... more ABSTRACT As Extreme Ultraviolet Lithography (EUVL) enters the pre-production phase, the need to qualify the Electronic Design Automation (EDA) infrastructure is pressing. In fact, it is clear that EUV will require optical proximity correction (OPC), having its introduction shifted to more advanced technology nodes. The introduction of off-axis illumination will enlarge the optical proximity effects, and EUV-specific effects such as flare and shadowing have to be fully integrated in the correction flow and tested. We have performed a model calibration exercise on the ASML NXE:3100 pre-production EUVL scanner using Brion's Tachyon NXE EUV system. A model calibration mask has been designed, manufactured and characterized. The mask has different flare levels, as well as model calibration structures through CDs and pitch. The flare modulation through the mask is obtained by varying tiling densities. The generation of full-chip flare maps has been qualified against experimental results. The model was set up and calibrated on an intermediate flare level, and validated in the full flare range. Wafer data have been collected and were used as input for model calibration and validation. Two-dimensional structures through CD and pitch were used for model calibration and verification. We discuss in detail the EUV model, and analyze its various components, with particular emphasis to EUV-specific phenomena such as flare and shadowing.
Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII, 2015
2009 International Symposium on VLSI Technology, Systems, and Applications, 2009
ABSTRACT In this paper, the experiences on full field EUVL lithography are reviewed. Besides the ... more ABSTRACT In this paper, the experiences on full field EUVL lithography are reviewed. Besides the imaging performance of the EUV ADT at IMEC, also the progress in resists and reticles are discussed and compared to the production requirements for EUV lithography.
Extreme Ultraviolet (EUV) Lithography III, 2012
ABSTRACT Line width roughness remains a critical issue when moving towards smaller feature sizes ... more ABSTRACT Line width roughness remains a critical issue when moving towards smaller feature sizes in EUV lithography. We present a stochastic resist modeling approach to accurately predict LWR and CD simultaneously. The stochastic model simulates the roughness effects due to the shot noise and secondary electron effects during exposure, and the interaction amongst the finite number of chemical molecules (inhibitor, PAG, quencher) during PEB. The model calibration used the imec baseline EUV resist (Shinetsu SEVR140) with over 250 measured CDs and corresponding line width roughness data. The validation was performed with 1D and 2D patterns. Especially for contact holes the predictability regarding local CD uniformity is discussed. The good match between the simulations and wafer results for SRAM patterns further exhibits the predictive power of the model. The model has been applied to simulate the new ASML NXE: 3100 EUV conditions for both thin and thick absorber EUV masks. The comparison between the simulation results and wafer data are reported.
Photomask and Next-Generation Lithography Mask Technology XIII, 2006
ABSTRACT Most IC manufacturers are considering MoSi to be the material of conventional 6% attenua... more ABSTRACT Most IC manufacturers are considering MoSi to be the material of conventional 6% attenuating phase-shifting masks (attPSM) in hyper-NA lithography (50 nm half pitch node and smaller). However, simulation results show that Cr-based binary-intensity mask (BIM) outperforms the attPSM at dense lines and spaces (LS) patterns in hyper-NA lithography. A reason lies in the transmitted polarization state through the mask. The attPSM is found to be a transverse-magnetic polarizer for hyper-NA imaging, while the BIM acts as a transverse-electric polarizer, which is beneficial for imaging. Using a metal-based absorber of the attPSM has potential for improving the degree of polarization of transmitted light. In our previous work absorber thickness of bi-layer attPSM, i.e. Ta/SiO2, was optimized through three-dimensional electromagnetic field (3D-EMF) simulations for better imaging performance than the MoSi attPSM. In this study, the thickness-optimized Ta/SiO2 attPSM was fabricated to compare the imaging performance with the standard Ta/SiO2 and MoSi attPSMs with 6% transmission and 180o phase shift. The thickness-optimized Ta/SiO2 attPSM has 1% transmission due to 50% thicker Ta than the standard, while the 180o phase shift is controlled by SiO2 thickness. The exposure latitude of 45 nm LS delineated by using an NA1.20 full-field scanner with xy-polarized cquadrupole was 15.7%, 13.4%, and 10.1% with depth of focus of 200 nm for the optimized Ta/SiO2, the standard Ta/SiO2, and MoSi, respectively. Line width roughness of the Ta/SiO2 attPSMs was approximately 5.5 nm for the 45 nm LS, which was comparable to MoSi. Mask-error-enhancement factor (MEEF) of the 45 nm LS was 4.4, 4.9, and 3.8 for the optimized Ta/SiO2, the standard Ta/SiO2, and MoSi, though the simulation expected MEEF values of 4.1, 5.5, and 6.3, respectively. Because the transmission and the phase shift measured by normal incidence are not linked directly with the imaging performance in the hyper-NA lithography with off-axis illumination, the mask materials and structures need to be optimized by using 3D-EMF simulators for the better imaging quality.
Extreme Ultraviolet (EUV) Lithography V, 2014
ABSTRACT We verify image fidelity after mask 3D aware-OPC (using Mentor Graphics Domain Decomposi... more ABSTRACT We verify image fidelity after mask 3D aware-OPC (using Mentor Graphics Domain Decomposition Method) and quantify pattern placement error (PPE) on wafer. First we show experimental pattern fidelity improvement of DDM-OPCed 2D-images of logic devices in 10 nm technology node with the latest NXE3300B EUV exposure tool. We then compare pattern fidelity in aerial images after DDM-OPC to aerial images using rigorous simulation of electric and magnetic field. Finally we quantify PPE in resist images with modeled 1D layouts after a perfect OPC. The perfect OPC corrects optical proximity effect, azimuthal angle through slit, and lens aberration. The forecasted PPE residual error after perfect OPC is 0.21 nm (x) and 0.76 nm (y) that can be attributed to uncorrectable components of wafer defocus and mask flatness. For modeling and compensation of pattern placement error, a new metrology method should be developed.
Extreme Ultraviolet (EUV) Lithography VI, 2015
We present an approach to image-based EUV aberration metrology using binary mask targets and iter... more We present an approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We examine the flexibility and criticality of the method using two experimental case studies. The first extracts the pupil phase behavior from an ASML NXE:3100 exposure system and shows primary aberration sensitivity below 0.2 mλ. The second experiment extracts both components of the pupil function from the SHARP EUV microscope. Extreme Ultraviolet (EUV) Lithography VI, edited by Obert R. Wood II, Eric M. Panning, Proc. of SPIE Vol. 9422, 942215 · © 2015 SPIE · CCC code: 0277-786X/15/$18 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 03/23/2015 Terms of Use: http://spiedl.org/terms Proc. of SPIE Vol. 9422 942215-2 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 03/23/2015 Terms of Use: http://spiedl.org/terms Proc. of SPIE Vol. 9422 942215-3 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 03/23/2015 Terms of Use: http://spiedl.org/terms
Extreme Ultraviolet (EUV) Lithography VI, 2015
27th European Mask and Lithography Conference, 2011
ABSTRACT In this follow-up paper for our contribution at BACUS 2010, first evidence is shown that... more ABSTRACT In this follow-up paper for our contribution at BACUS 2010, first evidence is shown that also the more advanced Lasertec M7360 has missed a few printing reticle defects caused by an imperfection of its EUV mirror, a so-called multilayer defect (ML-defect). This work continued to use a combination of blank inspection (BI), patterned mask inspection (PMI) and wafer inspection (WI) to find as many as possible printing defects on EUV reticles. The application of more advanced wafer inspection, combined with a separate repeater analysis for each of the multiple focus conditions used for exposure on the ASML Alpha Demo Tool (ADT) at IMEC, has allowed to increase the detectability of printing MLdefects. The latter uses the previous finding that ML-defects may have a through-focus printing behavior, i.e., they cause a different grade of CD impact on the pattern in their neighborhood, depending on the focus condition. Subsequent reticle review is used on the corresponding locations with both SEM (Secondary Electron Microscope) and AFM (Atomic Force Microscope). This review methodology has allowed achieving clear evidence of printing ML defects missed by this BI tool, despite of an unacceptable nuisance rate reported before. This is a next step in the investigation if it is possible to avoid actinic blank inspection (ABI) at all, the only presently known technique that is expected to be independent from the presence of a (residual) topography of the ML-defect at the top of the EUV mirror, in detecting those defects. This is considered an important asset of blank inspection, because the printability of a ML-defect on the EUV scanner and its detectability by ABI is determined by the distortion throughout the multilayer, not that at the surface.
Extreme Ultraviolet (EUV) Lithography IV, 2013
ABSTRACT To make sure a baseline process will be ready for the evaluation of the NXE:3300, imec e... more ABSTRACT To make sure a baseline process will be ready for the evaluation of the NXE:3300, imec evaluates promising new EUV resist materials with regards to imaging, process window and line width roughness (LWR) performance. From those screening evaluations(1), highest performing materials meeting sensitivity requirements are selected to be installed on the coat/develop track. This work details the process optimization results of the different selected resist platforms with regard to full wafer processing. Critical dimension uniformity (CDU) across the wafer is evaluated at 22nm HP for lines/spaces while defectivity wafers are patterned at 32nm HP. Contact hole CDU and defectivity are investigated at 30nm HP. Evaluations are executed on the ASML NXE:3100 equipped with a laser-assisted discharge produced plasma source from XTREME technologies, and interfaced to a TEL CLEAN TRACK (TM) LITHIUS Pro (TM) -EUV.
Extreme Ultraviolet (EUV) Lithography II, 2011
In order to further understand the processing sensitivities of the EUV resist process, TEL and im... more In order to further understand the processing sensitivities of the EUV resist process, TEL and imec have continued their collaborative efforts. For this work, TEL has delivered and installed the state of the art, CLEAN TRACK™ LITHIUS Pro™ -EUV coater/developer to the newly expanded imec 300mm cleanroom in Leuven, Belgium. The exposures detailed in this investigation were performed off-line to
To perform a thorough source optimization during process development is becoming more critical as... more To perform a thorough source optimization during process development is becoming more critical as we move to leading edge-technology nodes. With each new node the acceptable process margin continues to shrink as a result of lowering k1 factors. This drives the need for thorough source optimization prior to locking down a process in order to attain the maximum common depth of focus (DOF) the process will allow. Optical proximity correction (OPC) has become a process-enabling tool in lithography by providing a common process window for structures that would otherwise not have overlapping windows. But what effect does this have on the source optimization? With the introduction of immersion lithography there is yet another parameter, namely source polarization, that may need to be included in an illumination optimization process. This paper explored the effect polarization and OPC have on illumination optimization. The Calibre ILO (Illumination Optimization) tool was used to perform the illumination optimization and provided plots of DOF vs. various parametric illumination settings. This was used to screen the various illumination settings for the one with optimum process margins. The resulting illumination conditions were then implemented and analyzed at a full chip level. Based on these results, a conclusion was made on the impact source polarization and OPC would have on the illumination optimization process.
Design and Process Integration for Microelectronic Manufacturing III, 2005
Proceedings of Spie the International Society For Optical Engineering, Mar 1, 2010
As Extreme ultraviolet (EUV) lithography technology shows promising results below 40nm feature si... more As Extreme ultraviolet (EUV) lithography technology shows promising results below 40nm feature sizes, TOKYO ELECTRON LTD.(TEL) is committed to understanding the fundamentals needed to improve our technology, thereby enabling customers to meet roadmap expectations. TEL continues collaboration with imec for evaluation of Coater/Developer processing sensitivities using the ASML Alpha Demo Tool for EUV exposures. The results from the collaboration help develop the necessary hardware for EUV Coater/Developer processing. In previous work, processing sensitivities of the resist materials were investigated to determine the impact on critical dimension (CD) uniformity and defectivity. In this work, new promising resist materials have been studied and more information pertaining to EUV exposures was obtained. Specifically, post exposure bake (PEB) impact to CD is studied in addition to dissolution characteristics and resist material hydrophobicity. Additionally, initial results show the current status of CDU and defectivity with the ADT/CLEAN TRACK ACTTM 12 lithocluster. Analysis of a five wafer batch of CDU wafers shows within wafer and wafer to wafer contribution from track processing. A pareto of a patterned wafer defectivity test gives initial insight into the process defects with the current processing conditions. From analysis of these data, it's shown that while improvements in processing are certainly possible, the initial results indicate a manufacturable process for EUV.
Proceedings of Spie the International Society For Optical Engineering, Mar 1, 2008
There still remain three major technological lithography options for high volume manufacturing at... more There still remain three major technological lithography options for high volume manufacturing at the 32nm half pitch node: 193nm immersion lithography with high index materials, enabling NA>1.6 193nm double patterning and EUV lithography. In this paper the pros and cons of these three options will be discussed. Particular interest will be paid to the consequences of the final choice on the resist technology. High index 193nm immersion lithography also requires high index resist materials, which are under development but still far removed from the target refractive index and absorbance specifications not to mention lithographical performance. For double patterning the pitch may be relaxed, but the resists still need to be able to print very narrow lines and/or trenches. Moreover, it would be preferred for the resists to support pattern or image freezing techniques in order to step away from the litho-etch-litho-etch approach and make double patterning more cost effective. For EUV the resist materials need to meet very aggressive sensitivity specifications. In itself this is possible, but it is difficult to simultaneously maintain performance in terms of resolution and line width roughness. A new parameter (K LUP) for assessing resist performance in terms of these three performance criteria will be introduced.
Proceedings of Spie the International Society For Optical Engineering, Mar 16, 2007
A series of experiments were designed to probe the interaction between second generation High Ind... more A series of experiments were designed to probe the interaction between second generation High Index Liquids (HIL, n=1.65) and the resist stack. Three different second-generation high index liquids were tested in five experiments: measurement of the contact angle of the liquid with the resist surface; leaching of Photo-Acid Generator (PAG) into the liquid; residue analysis of droplets evaporated from the resist surface; impact of liquid soaking on resist profiles; and imaging through high-index liquids at 72nm pitch. The selected liquids were the main candidates from two potential vendors. In parallel, tests have also been done for water. The tests show that one of the main differences between highindex liquids and water is their much smaller contact angles on the organic photoresist films. This contact angle can be influenced by a topcoat, but currently seen contact angles may force a new immersion hood concept. Imaging was not affected strongly by the high-index liquids. For some liquids, low evaporation rates and a tendency to leave residue on resist were observed, which may require a dedicated liquid removal strategy to reduce defectivity.
Extreme Ultraviolet (EUV) Lithography IV, 2013
Extreme Ultraviolet (EUV) Lithography, 2010
ABSTRACT
Single exposure capable systems for the 32nm 1/2 pitch (HP) node may not be ready in time for pro... more Single exposure capable systems for the 32nm 1/2 pitch (HP) node may not be ready in time for production. At the possible NA of 1.35 still using water immersion lithography, one option to generate the required dense pitches is double patterning. Here a design is printed with two separate exposures and etch steps to increase the pitch. If a 2x increase in pitch can be achieved through the design split, double patterning could thus theoretically allow using exposure systems conceived for the 65nm node to print 32nm node designs. In this paper we focus on the aspect of design splitting and lithography for double patterning the poly layer of 32nm logic cells using the Synopsys full-chip physical verification and OPC conversion platforms. All 32nm node cells have been split in an automated fashion to target different aggressiveness towards pitch reduction and polygon cutting. Every design split has gone through lithography optimization, Optical Proximity Correction (OPC) and Lithography ...
Extreme Ultraviolet (EUV) Lithography III, 2012
ABSTRACT As Extreme Ultraviolet Lithography (EUVL) enters the pre-production phase, the need to q... more ABSTRACT As Extreme Ultraviolet Lithography (EUVL) enters the pre-production phase, the need to qualify the Electronic Design Automation (EDA) infrastructure is pressing. In fact, it is clear that EUV will require optical proximity correction (OPC), having its introduction shifted to more advanced technology nodes. The introduction of off-axis illumination will enlarge the optical proximity effects, and EUV-specific effects such as flare and shadowing have to be fully integrated in the correction flow and tested. We have performed a model calibration exercise on the ASML NXE:3100 pre-production EUVL scanner using Brion's Tachyon NXE EUV system. A model calibration mask has been designed, manufactured and characterized. The mask has different flare levels, as well as model calibration structures through CDs and pitch. The flare modulation through the mask is obtained by varying tiling densities. The generation of full-chip flare maps has been qualified against experimental results. The model was set up and calibrated on an intermediate flare level, and validated in the full flare range. Wafer data have been collected and were used as input for model calibration and validation. Two-dimensional structures through CD and pitch were used for model calibration and verification. We discuss in detail the EUV model, and analyze its various components, with particular emphasis to EUV-specific phenomena such as flare and shadowing.
Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII, 2015
2009 International Symposium on VLSI Technology, Systems, and Applications, 2009
ABSTRACT In this paper, the experiences on full field EUVL lithography are reviewed. Besides the ... more ABSTRACT In this paper, the experiences on full field EUVL lithography are reviewed. Besides the imaging performance of the EUV ADT at IMEC, also the progress in resists and reticles are discussed and compared to the production requirements for EUV lithography.
Extreme Ultraviolet (EUV) Lithography III, 2012
ABSTRACT Line width roughness remains a critical issue when moving towards smaller feature sizes ... more ABSTRACT Line width roughness remains a critical issue when moving towards smaller feature sizes in EUV lithography. We present a stochastic resist modeling approach to accurately predict LWR and CD simultaneously. The stochastic model simulates the roughness effects due to the shot noise and secondary electron effects during exposure, and the interaction amongst the finite number of chemical molecules (inhibitor, PAG, quencher) during PEB. The model calibration used the imec baseline EUV resist (Shinetsu SEVR140) with over 250 measured CDs and corresponding line width roughness data. The validation was performed with 1D and 2D patterns. Especially for contact holes the predictability regarding local CD uniformity is discussed. The good match between the simulations and wafer results for SRAM patterns further exhibits the predictive power of the model. The model has been applied to simulate the new ASML NXE: 3100 EUV conditions for both thin and thick absorber EUV masks. The comparison between the simulation results and wafer data are reported.
Photomask and Next-Generation Lithography Mask Technology XIII, 2006
ABSTRACT Most IC manufacturers are considering MoSi to be the material of conventional 6% attenua... more ABSTRACT Most IC manufacturers are considering MoSi to be the material of conventional 6% attenuating phase-shifting masks (attPSM) in hyper-NA lithography (50 nm half pitch node and smaller). However, simulation results show that Cr-based binary-intensity mask (BIM) outperforms the attPSM at dense lines and spaces (LS) patterns in hyper-NA lithography. A reason lies in the transmitted polarization state through the mask. The attPSM is found to be a transverse-magnetic polarizer for hyper-NA imaging, while the BIM acts as a transverse-electric polarizer, which is beneficial for imaging. Using a metal-based absorber of the attPSM has potential for improving the degree of polarization of transmitted light. In our previous work absorber thickness of bi-layer attPSM, i.e. Ta/SiO2, was optimized through three-dimensional electromagnetic field (3D-EMF) simulations for better imaging performance than the MoSi attPSM. In this study, the thickness-optimized Ta/SiO2 attPSM was fabricated to compare the imaging performance with the standard Ta/SiO2 and MoSi attPSMs with 6% transmission and 180o phase shift. The thickness-optimized Ta/SiO2 attPSM has 1% transmission due to 50% thicker Ta than the standard, while the 180o phase shift is controlled by SiO2 thickness. The exposure latitude of 45 nm LS delineated by using an NA1.20 full-field scanner with xy-polarized cquadrupole was 15.7%, 13.4%, and 10.1% with depth of focus of 200 nm for the optimized Ta/SiO2, the standard Ta/SiO2, and MoSi, respectively. Line width roughness of the Ta/SiO2 attPSMs was approximately 5.5 nm for the 45 nm LS, which was comparable to MoSi. Mask-error-enhancement factor (MEEF) of the 45 nm LS was 4.4, 4.9, and 3.8 for the optimized Ta/SiO2, the standard Ta/SiO2, and MoSi, though the simulation expected MEEF values of 4.1, 5.5, and 6.3, respectively. Because the transmission and the phase shift measured by normal incidence are not linked directly with the imaging performance in the hyper-NA lithography with off-axis illumination, the mask materials and structures need to be optimized by using 3D-EMF simulators for the better imaging quality.
Extreme Ultraviolet (EUV) Lithography V, 2014
ABSTRACT We verify image fidelity after mask 3D aware-OPC (using Mentor Graphics Domain Decomposi... more ABSTRACT We verify image fidelity after mask 3D aware-OPC (using Mentor Graphics Domain Decomposition Method) and quantify pattern placement error (PPE) on wafer. First we show experimental pattern fidelity improvement of DDM-OPCed 2D-images of logic devices in 10 nm technology node with the latest NXE3300B EUV exposure tool. We then compare pattern fidelity in aerial images after DDM-OPC to aerial images using rigorous simulation of electric and magnetic field. Finally we quantify PPE in resist images with modeled 1D layouts after a perfect OPC. The perfect OPC corrects optical proximity effect, azimuthal angle through slit, and lens aberration. The forecasted PPE residual error after perfect OPC is 0.21 nm (x) and 0.76 nm (y) that can be attributed to uncorrectable components of wafer defocus and mask flatness. For modeling and compensation of pattern placement error, a new metrology method should be developed.
Extreme Ultraviolet (EUV) Lithography VI, 2015
We present an approach to image-based EUV aberration metrology using binary mask targets and iter... more We present an approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We examine the flexibility and criticality of the method using two experimental case studies. The first extracts the pupil phase behavior from an ASML NXE:3100 exposure system and shows primary aberration sensitivity below 0.2 mλ. The second experiment extracts both components of the pupil function from the SHARP EUV microscope. Extreme Ultraviolet (EUV) Lithography VI, edited by Obert R. Wood II, Eric M. Panning, Proc. of SPIE Vol. 9422, 942215 · © 2015 SPIE · CCC code: 0277-786X/15/$18 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 03/23/2015 Terms of Use: http://spiedl.org/terms Proc. of SPIE Vol. 9422 942215-2 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 03/23/2015 Terms of Use: http://spiedl.org/terms Proc. of SPIE Vol. 9422 942215-3 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 03/23/2015 Terms of Use: http://spiedl.org/terms
Extreme Ultraviolet (EUV) Lithography VI, 2015
27th European Mask and Lithography Conference, 2011
ABSTRACT In this follow-up paper for our contribution at BACUS 2010, first evidence is shown that... more ABSTRACT In this follow-up paper for our contribution at BACUS 2010, first evidence is shown that also the more advanced Lasertec M7360 has missed a few printing reticle defects caused by an imperfection of its EUV mirror, a so-called multilayer defect (ML-defect). This work continued to use a combination of blank inspection (BI), patterned mask inspection (PMI) and wafer inspection (WI) to find as many as possible printing defects on EUV reticles. The application of more advanced wafer inspection, combined with a separate repeater analysis for each of the multiple focus conditions used for exposure on the ASML Alpha Demo Tool (ADT) at IMEC, has allowed to increase the detectability of printing MLdefects. The latter uses the previous finding that ML-defects may have a through-focus printing behavior, i.e., they cause a different grade of CD impact on the pattern in their neighborhood, depending on the focus condition. Subsequent reticle review is used on the corresponding locations with both SEM (Secondary Electron Microscope) and AFM (Atomic Force Microscope). This review methodology has allowed achieving clear evidence of printing ML defects missed by this BI tool, despite of an unacceptable nuisance rate reported before. This is a next step in the investigation if it is possible to avoid actinic blank inspection (ABI) at all, the only presently known technique that is expected to be independent from the presence of a (residual) topography of the ML-defect at the top of the EUV mirror, in detecting those defects. This is considered an important asset of blank inspection, because the printability of a ML-defect on the EUV scanner and its detectability by ABI is determined by the distortion throughout the multilayer, not that at the surface.
Extreme Ultraviolet (EUV) Lithography IV, 2013
ABSTRACT To make sure a baseline process will be ready for the evaluation of the NXE:3300, imec e... more ABSTRACT To make sure a baseline process will be ready for the evaluation of the NXE:3300, imec evaluates promising new EUV resist materials with regards to imaging, process window and line width roughness (LWR) performance. From those screening evaluations(1), highest performing materials meeting sensitivity requirements are selected to be installed on the coat/develop track. This work details the process optimization results of the different selected resist platforms with regard to full wafer processing. Critical dimension uniformity (CDU) across the wafer is evaluated at 22nm HP for lines/spaces while defectivity wafers are patterned at 32nm HP. Contact hole CDU and defectivity are investigated at 30nm HP. Evaluations are executed on the ASML NXE:3100 equipped with a laser-assisted discharge produced plasma source from XTREME technologies, and interfaced to a TEL CLEAN TRACK (TM) LITHIUS Pro (TM) -EUV.
Extreme Ultraviolet (EUV) Lithography II, 2011
In order to further understand the processing sensitivities of the EUV resist process, TEL and im... more In order to further understand the processing sensitivities of the EUV resist process, TEL and imec have continued their collaborative efforts. For this work, TEL has delivered and installed the state of the art, CLEAN TRACK™ LITHIUS Pro™ -EUV coater/developer to the newly expanded imec 300mm cleanroom in Leuven, Belgium. The exposures detailed in this investigation were performed off-line to