Nishrin Kachwala | The University of Georgia (original) (raw)
Papers by Nishrin Kachwala
Proceedings of SPIE, Apr 28, 1999
This work discusses routes to extend optical lithography to the 70 nm technology node using prope... more This work discusses routes to extend optical lithography to the 70 nm technology node using proper selection of masks, mask design including choice of optical proximity correction (OPC), exposure tool, illuminator design, and resist design to do imaging process integration. The goal of this integration is to make each component of the imaging system work to the best benefit of the other imaging components so as to produce focus-exposure process windows large enough to use in a manufacturing environment. In order to maximize return on investment, the design of the photoresist and the exposure tool is used to simplify reticle design as much as possible. For masks, the choices of binary, alternating or attenuated phase-shift masks (PSM) are discussed. Alternating PSM produces the best image quality but the effective phase angle depends on NA, wavelength, sigma, magnification, pitch and duty cycle. Attenuated PSM has maximum image quality when using transmissions of 18% for contact holes and 30% to 40% for lines and spaces. Using high transmission masks increases working resolution of a wide range of feature sizes and shapes, but requires suppression of unwanted light. This suppression requires using ternary attenuated PSM and in many instances necessitates critical formation of a second layer on the mask that has both the proper size and placement of the second level features. For OPC, the use of scattering bar, sub-resolution assist features to make isolated lines mimic dense exposure-focus response is discussed. For illuminators, properly tuned weak off-axis illumination is used with binary and attenuated PSM to flatten image CD while maintaining image quality at an acceptable level for the resist. For resists, the need to balance resist bias and side-lobe printing is discussed. A "work-in-progress" integration experiment is reviewed for 525 nm and 1050 nm pitches with 175 nm targeted line features imaged with a 0.53 NA, 248 nm stepper that has been modified with weak and strong off-axis illuminators and a binary reticle. Results show weak illumination produces a common process corridor for the two pitches that will need enhancement using OPC, but that the individual windows have acceptable imaging capability. Predictions of production resolution that are inferred by our simulation and experimental results are made and recommendations are given to make these predictions a reality. Based on our work we believe that, except for dense contact holes, 248 nm has the potential to be used through the 130 nm technology node and 193 nm can be used through the 100 nm node and the beginning of the 70 nm technology node. Dense contact holes will require a next generation lithography technology.
Proceedings of SPIE, Sep 14, 2001
Using transmissions higher than 6% some benefits been demonstrated for gate and contact levels wi... more Using transmissions higher than 6% some benefits been demonstrated for gate and contact levels with 248nm lithography (Ref. 1,2,3,4). Dense line/space features benefit most from high transmission with conventional illumination and low coherence was demonstrated with tri-tone (Ref.1). This continues the study of benefits with increasing transmission, on contact features. Reticles were manufactured for 248nm Lithography at 6%, 9% and 18% transmissions without the third layer, chrome. Only one dimensional proximity correction was incorporated in the mask design. Extensive mask metrology for CD control, CD linearity, surface quality, Phase and Transmission control across patterned area was carried out to understand the mask infrastructure capability. Simulation projections were verified by imaging analysis. Through pitch Depth of Focus (DOF) and Exposure latitude (EL) data was collected.
Proceedings of SPIE, Aug 16, 2002
Since pattern transfer is done via photomasks, they are critical to any process development. As a... more Since pattern transfer is done via photomasks, they are critical to any process development. As a rule of thumb photomask development must keep I 8 months ahead of the wafer development pace in order that chipmakers meet the ITRS technology roadmap. This roadmap has been difficult to follow for the mask industry. While Lithography toolmakers have been successful in implementing technology changes to enhance resolution and maintain process enhancements with Optical Proximity Corrections (OPC) and Phase Shift Masks (PSM). The mask equipment industry has been slow to implement a technology switch due to its size and technical risks involved (1). Inspection and Repair of defects, to a mask manufacturer, are critical steps to the disposition of advanced photo masks. Masks that have gone through many critical processing steps or have been damaged in a production facility can most of the time be brought "back into specification" by verification inspection and repair thus enhancing yields which help to reduce cost of ownership. Yields in manufacturing can be increased by increasing productivity and equipment capability. Thus test mask are an integral part of tool development, monitoring, evaluation and acceptance. The design of the mask must have features sizes and layouts that are relevant to the tool under test or development. In this work our goal was to create a design that can be used for development and evaluation of inspection and repair tools for the I OOnm and sub I OOnm technology nodes.
Proceedings of SPIE, May 5, 2005
Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimiz... more Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimized with respect to mask manufacturability and mask cost without sacrificing device performance. Design IP blocks not designed with the lithography process in mind (not "litho friendly") require more complex RET/OPC solutions, which can in turn result in unnecessary increases in the
Proceedings of SPIE, Jul 26, 1999
The process window for a particular feature type can be improved by improving the aerial image or... more The process window for a particular feature type can be improved by improving the aerial image or tuning the resist process. The aerial image can be improved by means of illumination or by means of mask enhancements. The illumination can be onaxis or off-axis tuned to feature type and mask. Mask enhancements being OPC and phase shifting. We illustrate process window improvement by imaging enhancement with binary and attenuated mask, with conventional and annular off-axis illumination, with and without OPC. The OPC is Sub resolution assist features (SRF). The SRF structure modifies the aerial image of the primary feature and allows for reducing dense-iso bias across pitch leading to a larger overlapping DOF across multiple pitches (ODOF). Across pitch studies with a binary mask were carried out for semi-dense and isolated lines. This study was conducted with two types of resist. A low contrast resist process tuned for isolated lines patterned on an ASML/300 stepper (0.57NA with 0.6/0.8 annular and 0.75 sigma conventional illumination). And a high contrast resist tuned for dense lines patterned on a SVGL Micrascan 3 (0.6 NA with 0.8σ conventional, and 0.6/0.8 annular). Reported results are process improvements across pitch, developing process with scattering bars and not printing of side lobes. Simulation results with low and high contrast resist, Binary vs. 6% transmission masks will also be reported. PROLITH/3 simulation study conducted with a low contrast resist suggested that the isolated line resist would print the 80nm sub resolution features at sizing. Further, that a high contrast resist would not print them at sizing but would print them when the 160nm lines were sized roughly 10% larger (under exposed) region. Thus far, at sizing, the experimental results matched prediction; the low contrast resist process printed the sub resolution features. As for process window matching across the chosen pitches, this process showed an imperfect solution with over exposure to eliminate the sub resolution patterns. Simulations appear to make good predictions for the two cases (high vs. low contrast resist with binary mask) examined and make it possible to explore better solutions. For instance, under a fixed set of develop and PEB conditions, analysis of infinite contrast resists did not move the danger of sub resolution features printing much above the +10% CD sizing. However using a 6% ternary attPSM moved the printing limit to +20% of target CD size. The results of process window improvements with an attenuated PSM (6%) using a high contrast resist will be discussed. In all the cases, sub resolution feature OPC for isolated lines was compared with no OPC feature.
Proceedings of SPIE, Sep 1, 1998
Designing dual-trench alternating phase-shift masks for 140-nm and smaller features using 248-nm ... more Designing dual-trench alternating phase-shift masks for 140-nm and smaller features using 248-nm KrF and 193-nm ArF lithography. [Proceedings of SPIE 3412, 503 (1998)]. John S. Petersen, Robert J. Socha, Alex R. Naderi ...
Proceedings of SPIE, Jul 15, 2002
With the increasing importance of phase-shift masks (PSM), the rigorous simulation of the light d... more With the increasing importance of phase-shift masks (PSM), the rigorous simulation of the light diffraction from the mask becomes a standard technique in lithography simulation. The combination of rigorous simulation of light diffraction with scalar and vector imaging theory results in several possible model options. The paper presents an overview about these model options. A new approach to the modeling of oblique incidence of light on the mask is proposed. The performance of field decomposition techniques for two selected examples is discussed. The different model options are applied to the simulation of the imaging of an alternating PSM with a ArF scanner. Resist simulations are performed with a calibrated model. Simulation results are verified experimentally and presented via resist imaging data for different pitches.
Various computational approaches from rule-based to model-based methods exist to place Sub-Resolu... more Various computational approaches from rule-based to model-based methods exist to place Sub-Resolution Assist Features (SRAF) in order to increase process window for lithography. Each method has its advantages and drawbacks, and typically requires the user to make a trade-off between time of development, accuracy, consistency and cycle time. Rule-based methods, used since the 90 nm node, require long development time and struggle to achieve good process window performance for complex patterns. Heuristically driven, their development is often iterative and involves significant engineering time from multiple disciplines (Litho, OPC and DTCO). Model-based approaches have been widely adopted since the 20 nm node. While the development of model-driven placement methods is relatively straightforward, they often become computationally expensive when high accuracy is required. Furthermore these methods tend to yield less consistent SRAFs due to the nature of the approach: they rely on a model which is sensitive to the pattern placement on the native simulation grid, and can be impacted by such related grid dependency effects. Those undesirable effects tend to become stronger when more iterations or complexity are needed in the algorithm to achieve required accuracy. ASML Brion has developed a new SRAF placement technique on the Tachyon platform that is assisted by machine learning and significantly improves the accuracy of full chip SRAF placement while keeping consistency and runtime under control. A Deep Convolutional Neural Network (DCNN) is trained using the target wafer layout and corresponding Continuous Transmission Mask (CTM) images. These CTM images have been fully optimized using the Tachyon inverse mask optimization engine. The neural network generated SRAF guidance map is then used to place SRAF on full-chip. This is different from our existing full-chip MB-SRAF approach which utilizes a SRAF guidance map (SGM) of mask sensitivity to improve the contrast of optical image at the target pattern edges. In this paper, we demonstrate that machine learning assisted SRAF placement can achieve a superior process window compared to the SGM model-based SRAF method, while keeping the full-chip runtime affordable, and maintain consistency of SRAF placement . We describe the current status of this machine learning assisted SRAF technique and demonstrate its application to full chip mask synthesis and discuss how it can extend the computational lithography roadmap.
24th Annual BACUS Symposium on Photomask Technology, Dec 6, 2004
Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimiz... more Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimized with respect to mask manufacturability and mask cost without sacrificing device performance. Design IP blocks not designed with the lithography process in mind (not "litho friendly") require more complex RET/OPC solutions, which can in turn result in unnecessary increases in the mask cost and turn around time. These blocks are typically replicated many times across a design and can therefore have a compounding effect. Design for manufacturing (DFM) techniques verify and alleviate complex interactions between design and process. DFM can be applied at various stages in your design-to-silicon flow. We will discuss how these DFM methods are applied and implemented at Cypress. We will also show how design rules are defined and present several methods for injecting OPC/RET awareness into the designs prior to mask manufacture.
Proceedings of SPIE, Jul 5, 2000
Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce ... more Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce line end shortening, corner rounding and provide process window enhancements for some pitches. They also indicate that as the transmission is increased for line features, the Normalized image log slope (NILS) increases for all pitches. In this work the performance of 33% and 20% attenuated masks has been compared against 6% and binary masks. Imaging results were obtained for 160nm features at various pitches with a 0.6NA 248nm SVGL MSIII with conventional and annular illumination. Performance of high transmission in terms of Depth of Focus, Overlapping process windows (ODOF), Exposure latitude and Proximity effects with the various % transmissions. Critical issues such as manufacturing of tri-tone masks, Inspection, Repair and material availability for High transmission (HiT) masks will be addressed.
The Astrophysical Journal, 1997
First results of a Cosmic Microwave Background (CMB) anisotropy experiment conducted at the Obser... more First results of a Cosmic Microwave Background (CMB) anisotropy experiment conducted at the Observatorio del Teide (Tenerife, Spain) are presented. The instrument is a four channel (3.1, 2.1, 1.3 and 1.1 mm) 3 He bolometer system coupled to a 45 cm diameter telescope. The resultant
Various computational approaches from rule-based to model-based methods exist to place Sub-Resolu... more Various computational approaches from rule-based to model-based methods exist to place Sub-Resolution Assist Features (SRAF) in order to increase process window for lithography. Each method has its advantages and drawbacks, and typically requires the user to make a trade-off between time of development, accuracy, consistency and cycle time. Rule-based methods, used since the 90 nm node, require long development time and struggle to achieve good process window performance for complex patterns. Heuristically driven, their development is often iterative and involves significant engineering time from multiple disciplines (Litho, OPC and DTCO). Model-based approaches have been widely adopted since the 20 nm node. While the development of model-driven placement methods is relatively straightforward, they often become computationally expensive when high accuracy is required. Furthermore these methods tend to yield less consistent SRAFs due to the nature of the approach: they rely on a mode...
Spie Proceedings Series, 2002
Optical Microlithography XII, 1999
ABSTRACT The process window for a particular feature type can be improved by improving the aerial... more ABSTRACT The process window for a particular feature type can be improved by improving the aerial image or tuning the resist process. The aerial image can be improved by means of illumination or by means of mask enhancements. The illumination can be on-axis or off-axis tuned to feature type and mask. Mask enhancements being OPC and phase shifting. We illustrate process window improving by imaging enhancement with binary and attenuated mask, with conventional and annular off-axis illumination, with and without OPC. The OPC is Sub resolution assist features (SRF). The SRF structure modifies the aerial image of the primary feature and allows for reducing dense-iso bias across pitch leading to a larger overlapping DOF across multiple pitches (ODOF). Across pitch studies with a binary mask were carried out for semi-dense and isolated lines. This study was conducted with two types of resists. A low contrast resist process tuned for isolated line as patterned on an ASML/300 stepper. And a high contrast resist tuned for dense lines patterned on a SVGL Micrascan 3. Reported results are process improvements across pitch, developing process with scattering bars and not printing of side lobes. Simulation result with low and high contrast resist, Binary vs. 6 percent transmission masks will also be reported. PROLITH/3 simulation study conducted with a low contrast resist suggested that the isolated line resist would print the 80nm sub resolution feature at sizing. Further, that a high contrast resist would not print them at sizing but would print them when the 160nm lines were sized roughly 10 percent larger region. Thus far, at sizing, the experimental results matched prediction; the low contrast resists process printed the sub resolution features. As for process window matching across the chosen pitches, this process showed an imperfect solution with over exposure to eliminate the sub resolution patterns. Simulations appear to make good predictions of the two cases examined and make it possible to explore better solutions. For instance, under a fixed set of develop and PEB conditions, analysis of infinite contrast resist did not move the danger of sub resolution feature printing much above the +10 percent CD sizing. However, using a 6 percent ternary attPSM moved the printing limit to +20 percent of target Cd size. The result of process window improvements with an attenuated PSM using a high contrast resist will be discussed. In all the cases, sub resolution feature OPC for isolated lines was compared with no OPC feature.
Optical Microlithography XIII, 2000
Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce ... more Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce line end shortening, corner rounding and provide process window enhancements for some pitches. They also indicate that as the transmission is increased for line features, the Normalized image log slope (NILS) increases for all pitches. In this work the performance of 33% and 20% attenuated masks has been compared against 6% and binary masks. Imaging results were obtained for 160nm features at various pitches with a 0.6NA 248nm SVGL MSIII with conventional and annular illumination. Performance of high transmission in terms of Depth of Focus, Overlapping process windows (ODOF), Exposure latitude and Proximity effects with the various % transmissions. Critical issues such as manufacturing of tri-tone masks, Inspection, Repair and material availability for High transmission (HiT) masks will be addressed.
24th Annual BACUS Symposium on Photomask Technology, 2004
Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimiz... more Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimized with respect to mask manufacturability and mask cost without sacrificing device performance. Design IP blocks not designed with the lithography process in mind (not "litho friendly") require more complex RET/OPC solutions, which can in turn result in unnecessary increases in the mask cost and turn around time. These blocks are typically replicated many times across a design and can therefore have a compounding effect. Design for manufacturing (DFM) techniques verify and alleviate complex interactions between design and process. DFM can be applied at various stages in your design-to-silicon flow. We will discuss how these DFM methods are applied and implemented at Cypress. We will also show how design rules are defined and present several methods for injecting OPC/RET awareness into the designs prior to mask manufacture.
Design and Process Integration for Microelectronic Manufacturing III, 2005
Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimiz... more Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimized with respect to mask manufacturability and mask cost without sacrificing device performance. Design IP blocks not designed with the lithography process in mind (not "litho friendly") require more complex RET/OPC solutions, which can in turn result in unnecessary increases in the
Photomask and X-Ray Mask Technology V, 1998
Preprint UCRL-JC-131546, 1998
ABSTRACT This work discusses routes to extend optical lithography to the 70 nm technology node us... more ABSTRACT This work discusses routes to extend optical lithography to the 70 nm technology node using proper selection of masks, mask design including choice of optical proximity correction (OPC), exposure tool, illuminator design, and resist design to do imaging process integration. The goal of this integration is to make each component of the imaging system work to the best benefit of the other imaging components so as to produce focus-exposure process windows large enough to use in a manufacturing environment. In order to maximize return on investment, the design of the photoresist and the exposure tool is used to simplify reticle design as much as possible. For masks, the choices of binary, alternating or attenuated phase-shift masks (PSM) are discussed. Alternating PSM produces the best image quality but the effective phase angle depends on NA, wavelength, sigma, magnification, pitch and duty cycle. Attenuated PSM has maximum image quality when using transmissions of 18% for contact holes and 30% to 40% for lines and spaces. Using high transmission masks increases working resolution of a wide range of feature sizes and shapes, but requires suppression of unwanted light. This suppression requires using ternary attenuated PSM and in many instances necessitates critical formation of a second layer on the mask that has both the proper size and placement of the second level features. For OPC, the use of scattering bar, sub-resolution assist features to make isolated lines mimic dense exposure-focus response is discussed. For illuminators, properly tuned weak off-axis illumination is used with binary and attenuated PSM to flatten image CD while maintaining image quality at an acceptable level for the resist. For resists, the need to balance resist bias and side-lobe printing is discussed. A 'work-in-progress' integration experiment is reviewed for 525 nm and 1050 nm pitches with 175 nm targeted line features imaged with a 0.53 NA, 248 nm stepper that has been modified with weak and strong off-axis illuminators and a binary reticle. Results show weak illumination produces a common process corridor for the two pitches that will need enhancement using OPC, but that the individual windows have acceptable imaging capability. Predictions of production resolution that are inferred by our simulation and experimental results are made and recommendations are given to make these predictions a reality. Based on our work we believe that, expect for dense contact holes, 248 nm has the potential to be used through the 130 nm technology node and 193 nm can be used through the 100 nm node and the beginning of the 70 nm technology node. Dense contact holes will require a next generation lithography technology.© (1998) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
Results of a ground-based CMB anisotropy experiment conducted at the Teide Observatory (Tenerife)... more Results of a ground-based CMB anisotropy experiment conducted at the Teide Observatory (Tenerife) are presented. The instrument is a four channel (3.1, 2.1, 1.3 and 1.1 mm) bolometer system coupled to a telescope consisting of a chopping off-axis parabolic primary mirror and an off-axis hyperbolic secondary. The resultant configuration is sensitive to structures on angular scales of about 1° -
Proceedings of SPIE, Apr 28, 1999
This work discusses routes to extend optical lithography to the 70 nm technology node using prope... more This work discusses routes to extend optical lithography to the 70 nm technology node using proper selection of masks, mask design including choice of optical proximity correction (OPC), exposure tool, illuminator design, and resist design to do imaging process integration. The goal of this integration is to make each component of the imaging system work to the best benefit of the other imaging components so as to produce focus-exposure process windows large enough to use in a manufacturing environment. In order to maximize return on investment, the design of the photoresist and the exposure tool is used to simplify reticle design as much as possible. For masks, the choices of binary, alternating or attenuated phase-shift masks (PSM) are discussed. Alternating PSM produces the best image quality but the effective phase angle depends on NA, wavelength, sigma, magnification, pitch and duty cycle. Attenuated PSM has maximum image quality when using transmissions of 18% for contact holes and 30% to 40% for lines and spaces. Using high transmission masks increases working resolution of a wide range of feature sizes and shapes, but requires suppression of unwanted light. This suppression requires using ternary attenuated PSM and in many instances necessitates critical formation of a second layer on the mask that has both the proper size and placement of the second level features. For OPC, the use of scattering bar, sub-resolution assist features to make isolated lines mimic dense exposure-focus response is discussed. For illuminators, properly tuned weak off-axis illumination is used with binary and attenuated PSM to flatten image CD while maintaining image quality at an acceptable level for the resist. For resists, the need to balance resist bias and side-lobe printing is discussed. A "work-in-progress" integration experiment is reviewed for 525 nm and 1050 nm pitches with 175 nm targeted line features imaged with a 0.53 NA, 248 nm stepper that has been modified with weak and strong off-axis illuminators and a binary reticle. Results show weak illumination produces a common process corridor for the two pitches that will need enhancement using OPC, but that the individual windows have acceptable imaging capability. Predictions of production resolution that are inferred by our simulation and experimental results are made and recommendations are given to make these predictions a reality. Based on our work we believe that, except for dense contact holes, 248 nm has the potential to be used through the 130 nm technology node and 193 nm can be used through the 100 nm node and the beginning of the 70 nm technology node. Dense contact holes will require a next generation lithography technology.
Proceedings of SPIE, Sep 14, 2001
Using transmissions higher than 6% some benefits been demonstrated for gate and contact levels wi... more Using transmissions higher than 6% some benefits been demonstrated for gate and contact levels with 248nm lithography (Ref. 1,2,3,4). Dense line/space features benefit most from high transmission with conventional illumination and low coherence was demonstrated with tri-tone (Ref.1). This continues the study of benefits with increasing transmission, on contact features. Reticles were manufactured for 248nm Lithography at 6%, 9% and 18% transmissions without the third layer, chrome. Only one dimensional proximity correction was incorporated in the mask design. Extensive mask metrology for CD control, CD linearity, surface quality, Phase and Transmission control across patterned area was carried out to understand the mask infrastructure capability. Simulation projections were verified by imaging analysis. Through pitch Depth of Focus (DOF) and Exposure latitude (EL) data was collected.
Proceedings of SPIE, Aug 16, 2002
Since pattern transfer is done via photomasks, they are critical to any process development. As a... more Since pattern transfer is done via photomasks, they are critical to any process development. As a rule of thumb photomask development must keep I 8 months ahead of the wafer development pace in order that chipmakers meet the ITRS technology roadmap. This roadmap has been difficult to follow for the mask industry. While Lithography toolmakers have been successful in implementing technology changes to enhance resolution and maintain process enhancements with Optical Proximity Corrections (OPC) and Phase Shift Masks (PSM). The mask equipment industry has been slow to implement a technology switch due to its size and technical risks involved (1). Inspection and Repair of defects, to a mask manufacturer, are critical steps to the disposition of advanced photo masks. Masks that have gone through many critical processing steps or have been damaged in a production facility can most of the time be brought "back into specification" by verification inspection and repair thus enhancing yields which help to reduce cost of ownership. Yields in manufacturing can be increased by increasing productivity and equipment capability. Thus test mask are an integral part of tool development, monitoring, evaluation and acceptance. The design of the mask must have features sizes and layouts that are relevant to the tool under test or development. In this work our goal was to create a design that can be used for development and evaluation of inspection and repair tools for the I OOnm and sub I OOnm technology nodes.
Proceedings of SPIE, May 5, 2005
Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimiz... more Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimized with respect to mask manufacturability and mask cost without sacrificing device performance. Design IP blocks not designed with the lithography process in mind (not "litho friendly") require more complex RET/OPC solutions, which can in turn result in unnecessary increases in the
Proceedings of SPIE, Jul 26, 1999
The process window for a particular feature type can be improved by improving the aerial image or... more The process window for a particular feature type can be improved by improving the aerial image or tuning the resist process. The aerial image can be improved by means of illumination or by means of mask enhancements. The illumination can be onaxis or off-axis tuned to feature type and mask. Mask enhancements being OPC and phase shifting. We illustrate process window improvement by imaging enhancement with binary and attenuated mask, with conventional and annular off-axis illumination, with and without OPC. The OPC is Sub resolution assist features (SRF). The SRF structure modifies the aerial image of the primary feature and allows for reducing dense-iso bias across pitch leading to a larger overlapping DOF across multiple pitches (ODOF). Across pitch studies with a binary mask were carried out for semi-dense and isolated lines. This study was conducted with two types of resist. A low contrast resist process tuned for isolated lines patterned on an ASML/300 stepper (0.57NA with 0.6/0.8 annular and 0.75 sigma conventional illumination). And a high contrast resist tuned for dense lines patterned on a SVGL Micrascan 3 (0.6 NA with 0.8σ conventional, and 0.6/0.8 annular). Reported results are process improvements across pitch, developing process with scattering bars and not printing of side lobes. Simulation results with low and high contrast resist, Binary vs. 6% transmission masks will also be reported. PROLITH/3 simulation study conducted with a low contrast resist suggested that the isolated line resist would print the 80nm sub resolution features at sizing. Further, that a high contrast resist would not print them at sizing but would print them when the 160nm lines were sized roughly 10% larger (under exposed) region. Thus far, at sizing, the experimental results matched prediction; the low contrast resist process printed the sub resolution features. As for process window matching across the chosen pitches, this process showed an imperfect solution with over exposure to eliminate the sub resolution patterns. Simulations appear to make good predictions for the two cases (high vs. low contrast resist with binary mask) examined and make it possible to explore better solutions. For instance, under a fixed set of develop and PEB conditions, analysis of infinite contrast resists did not move the danger of sub resolution features printing much above the +10% CD sizing. However using a 6% ternary attPSM moved the printing limit to +20% of target CD size. The results of process window improvements with an attenuated PSM (6%) using a high contrast resist will be discussed. In all the cases, sub resolution feature OPC for isolated lines was compared with no OPC feature.
Proceedings of SPIE, Sep 1, 1998
Designing dual-trench alternating phase-shift masks for 140-nm and smaller features using 248-nm ... more Designing dual-trench alternating phase-shift masks for 140-nm and smaller features using 248-nm KrF and 193-nm ArF lithography. [Proceedings of SPIE 3412, 503 (1998)]. John S. Petersen, Robert J. Socha, Alex R. Naderi ...
Proceedings of SPIE, Jul 15, 2002
With the increasing importance of phase-shift masks (PSM), the rigorous simulation of the light d... more With the increasing importance of phase-shift masks (PSM), the rigorous simulation of the light diffraction from the mask becomes a standard technique in lithography simulation. The combination of rigorous simulation of light diffraction with scalar and vector imaging theory results in several possible model options. The paper presents an overview about these model options. A new approach to the modeling of oblique incidence of light on the mask is proposed. The performance of field decomposition techniques for two selected examples is discussed. The different model options are applied to the simulation of the imaging of an alternating PSM with a ArF scanner. Resist simulations are performed with a calibrated model. Simulation results are verified experimentally and presented via resist imaging data for different pitches.
Various computational approaches from rule-based to model-based methods exist to place Sub-Resolu... more Various computational approaches from rule-based to model-based methods exist to place Sub-Resolution Assist Features (SRAF) in order to increase process window for lithography. Each method has its advantages and drawbacks, and typically requires the user to make a trade-off between time of development, accuracy, consistency and cycle time. Rule-based methods, used since the 90 nm node, require long development time and struggle to achieve good process window performance for complex patterns. Heuristically driven, their development is often iterative and involves significant engineering time from multiple disciplines (Litho, OPC and DTCO). Model-based approaches have been widely adopted since the 20 nm node. While the development of model-driven placement methods is relatively straightforward, they often become computationally expensive when high accuracy is required. Furthermore these methods tend to yield less consistent SRAFs due to the nature of the approach: they rely on a model which is sensitive to the pattern placement on the native simulation grid, and can be impacted by such related grid dependency effects. Those undesirable effects tend to become stronger when more iterations or complexity are needed in the algorithm to achieve required accuracy. ASML Brion has developed a new SRAF placement technique on the Tachyon platform that is assisted by machine learning and significantly improves the accuracy of full chip SRAF placement while keeping consistency and runtime under control. A Deep Convolutional Neural Network (DCNN) is trained using the target wafer layout and corresponding Continuous Transmission Mask (CTM) images. These CTM images have been fully optimized using the Tachyon inverse mask optimization engine. The neural network generated SRAF guidance map is then used to place SRAF on full-chip. This is different from our existing full-chip MB-SRAF approach which utilizes a SRAF guidance map (SGM) of mask sensitivity to improve the contrast of optical image at the target pattern edges. In this paper, we demonstrate that machine learning assisted SRAF placement can achieve a superior process window compared to the SGM model-based SRAF method, while keeping the full-chip runtime affordable, and maintain consistency of SRAF placement . We describe the current status of this machine learning assisted SRAF technique and demonstrate its application to full chip mask synthesis and discuss how it can extend the computational lithography roadmap.
24th Annual BACUS Symposium on Photomask Technology, Dec 6, 2004
Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimiz... more Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimized with respect to mask manufacturability and mask cost without sacrificing device performance. Design IP blocks not designed with the lithography process in mind (not "litho friendly") require more complex RET/OPC solutions, which can in turn result in unnecessary increases in the mask cost and turn around time. These blocks are typically replicated many times across a design and can therefore have a compounding effect. Design for manufacturing (DFM) techniques verify and alleviate complex interactions between design and process. DFM can be applied at various stages in your design-to-silicon flow. We will discuss how these DFM methods are applied and implemented at Cypress. We will also show how design rules are defined and present several methods for injecting OPC/RET awareness into the designs prior to mask manufacture.
Proceedings of SPIE, Jul 5, 2000
Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce ... more Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce line end shortening, corner rounding and provide process window enhancements for some pitches. They also indicate that as the transmission is increased for line features, the Normalized image log slope (NILS) increases for all pitches. In this work the performance of 33% and 20% attenuated masks has been compared against 6% and binary masks. Imaging results were obtained for 160nm features at various pitches with a 0.6NA 248nm SVGL MSIII with conventional and annular illumination. Performance of high transmission in terms of Depth of Focus, Overlapping process windows (ODOF), Exposure latitude and Proximity effects with the various % transmissions. Critical issues such as manufacturing of tri-tone masks, Inspection, Repair and material availability for High transmission (HiT) masks will be addressed.
The Astrophysical Journal, 1997
First results of a Cosmic Microwave Background (CMB) anisotropy experiment conducted at the Obser... more First results of a Cosmic Microwave Background (CMB) anisotropy experiment conducted at the Observatorio del Teide (Tenerife, Spain) are presented. The instrument is a four channel (3.1, 2.1, 1.3 and 1.1 mm) 3 He bolometer system coupled to a 45 cm diameter telescope. The resultant
Various computational approaches from rule-based to model-based methods exist to place Sub-Resolu... more Various computational approaches from rule-based to model-based methods exist to place Sub-Resolution Assist Features (SRAF) in order to increase process window for lithography. Each method has its advantages and drawbacks, and typically requires the user to make a trade-off between time of development, accuracy, consistency and cycle time. Rule-based methods, used since the 90 nm node, require long development time and struggle to achieve good process window performance for complex patterns. Heuristically driven, their development is often iterative and involves significant engineering time from multiple disciplines (Litho, OPC and DTCO). Model-based approaches have been widely adopted since the 20 nm node. While the development of model-driven placement methods is relatively straightforward, they often become computationally expensive when high accuracy is required. Furthermore these methods tend to yield less consistent SRAFs due to the nature of the approach: they rely on a mode...
Spie Proceedings Series, 2002
Optical Microlithography XII, 1999
ABSTRACT The process window for a particular feature type can be improved by improving the aerial... more ABSTRACT The process window for a particular feature type can be improved by improving the aerial image or tuning the resist process. The aerial image can be improved by means of illumination or by means of mask enhancements. The illumination can be on-axis or off-axis tuned to feature type and mask. Mask enhancements being OPC and phase shifting. We illustrate process window improving by imaging enhancement with binary and attenuated mask, with conventional and annular off-axis illumination, with and without OPC. The OPC is Sub resolution assist features (SRF). The SRF structure modifies the aerial image of the primary feature and allows for reducing dense-iso bias across pitch leading to a larger overlapping DOF across multiple pitches (ODOF). Across pitch studies with a binary mask were carried out for semi-dense and isolated lines. This study was conducted with two types of resists. A low contrast resist process tuned for isolated line as patterned on an ASML/300 stepper. And a high contrast resist tuned for dense lines patterned on a SVGL Micrascan 3. Reported results are process improvements across pitch, developing process with scattering bars and not printing of side lobes. Simulation result with low and high contrast resist, Binary vs. 6 percent transmission masks will also be reported. PROLITH/3 simulation study conducted with a low contrast resist suggested that the isolated line resist would print the 80nm sub resolution feature at sizing. Further, that a high contrast resist would not print them at sizing but would print them when the 160nm lines were sized roughly 10 percent larger region. Thus far, at sizing, the experimental results matched prediction; the low contrast resists process printed the sub resolution features. As for process window matching across the chosen pitches, this process showed an imperfect solution with over exposure to eliminate the sub resolution patterns. Simulations appear to make good predictions of the two cases examined and make it possible to explore better solutions. For instance, under a fixed set of develop and PEB conditions, analysis of infinite contrast resist did not move the danger of sub resolution feature printing much above the +10 percent CD sizing. However, using a 6 percent ternary attPSM moved the printing limit to +20 percent of target Cd size. The result of process window improvements with an attenuated PSM using a high contrast resist will be discussed. In all the cases, sub resolution feature OPC for isolated lines was compared with no OPC feature.
Optical Microlithography XIII, 2000
Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce ... more Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce line end shortening, corner rounding and provide process window enhancements for some pitches. They also indicate that as the transmission is increased for line features, the Normalized image log slope (NILS) increases for all pitches. In this work the performance of 33% and 20% attenuated masks has been compared against 6% and binary masks. Imaging results were obtained for 160nm features at various pitches with a 0.6NA 248nm SVGL MSIII with conventional and annular illumination. Performance of high transmission in terms of Depth of Focus, Overlapping process windows (ODOF), Exposure latitude and Proximity effects with the various % transmissions. Critical issues such as manufacturing of tri-tone masks, Inspection, Repair and material availability for High transmission (HiT) masks will be addressed.
24th Annual BACUS Symposium on Photomask Technology, 2004
Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimiz... more Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimized with respect to mask manufacturability and mask cost without sacrificing device performance. Design IP blocks not designed with the lithography process in mind (not "litho friendly") require more complex RET/OPC solutions, which can in turn result in unnecessary increases in the mask cost and turn around time. These blocks are typically replicated many times across a design and can therefore have a compounding effect. Design for manufacturing (DFM) techniques verify and alleviate complex interactions between design and process. DFM can be applied at various stages in your design-to-silicon flow. We will discuss how these DFM methods are applied and implemented at Cypress. We will also show how design rules are defined and present several methods for injecting OPC/RET awareness into the designs prior to mask manufacture.
Design and Process Integration for Microelectronic Manufacturing III, 2005
Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimiz... more Model based OPC for low k1 lithography has a large impact on mask cost, and hence must be optimized with respect to mask manufacturability and mask cost without sacrificing device performance. Design IP blocks not designed with the lithography process in mind (not "litho friendly") require more complex RET/OPC solutions, which can in turn result in unnecessary increases in the
Photomask and X-Ray Mask Technology V, 1998
Preprint UCRL-JC-131546, 1998
ABSTRACT This work discusses routes to extend optical lithography to the 70 nm technology node us... more ABSTRACT This work discusses routes to extend optical lithography to the 70 nm technology node using proper selection of masks, mask design including choice of optical proximity correction (OPC), exposure tool, illuminator design, and resist design to do imaging process integration. The goal of this integration is to make each component of the imaging system work to the best benefit of the other imaging components so as to produce focus-exposure process windows large enough to use in a manufacturing environment. In order to maximize return on investment, the design of the photoresist and the exposure tool is used to simplify reticle design as much as possible. For masks, the choices of binary, alternating or attenuated phase-shift masks (PSM) are discussed. Alternating PSM produces the best image quality but the effective phase angle depends on NA, wavelength, sigma, magnification, pitch and duty cycle. Attenuated PSM has maximum image quality when using transmissions of 18% for contact holes and 30% to 40% for lines and spaces. Using high transmission masks increases working resolution of a wide range of feature sizes and shapes, but requires suppression of unwanted light. This suppression requires using ternary attenuated PSM and in many instances necessitates critical formation of a second layer on the mask that has both the proper size and placement of the second level features. For OPC, the use of scattering bar, sub-resolution assist features to make isolated lines mimic dense exposure-focus response is discussed. For illuminators, properly tuned weak off-axis illumination is used with binary and attenuated PSM to flatten image CD while maintaining image quality at an acceptable level for the resist. For resists, the need to balance resist bias and side-lobe printing is discussed. A 'work-in-progress' integration experiment is reviewed for 525 nm and 1050 nm pitches with 175 nm targeted line features imaged with a 0.53 NA, 248 nm stepper that has been modified with weak and strong off-axis illuminators and a binary reticle. Results show weak illumination produces a common process corridor for the two pitches that will need enhancement using OPC, but that the individual windows have acceptable imaging capability. Predictions of production resolution that are inferred by our simulation and experimental results are made and recommendations are given to make these predictions a reality. Based on our work we believe that, expect for dense contact holes, 248 nm has the potential to be used through the 130 nm technology node and 193 nm can be used through the 100 nm node and the beginning of the 70 nm technology node. Dense contact holes will require a next generation lithography technology.© (1998) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.
Results of a ground-based CMB anisotropy experiment conducted at the Teide Observatory (Tenerife)... more Results of a ground-based CMB anisotropy experiment conducted at the Teide Observatory (Tenerife) are presented. The instrument is a four channel (3.1, 2.1, 1.3 and 1.1 mm) bolometer system coupled to a telescope consisting of a chopping off-axis parabolic primary mirror and an off-axis hyperbolic secondary. The resultant configuration is sensitive to structures on angular scales of about 1° -