Stability of On-Chip Power Delivery Systems With Multiple Low-Dropout Regulators (original) (raw)
Key takeaways
AI
- Stability degrades with more than 14 LDOs sharing the same power delivery network.
- Ensuring light load stability does not guarantee overall system stability under heavy load conditions.
- The resonant frequency decreases as the number of LDOs increases, impacting stability significantly.
- The unity gain frequency (UGF) must be sufficiently separated from the resonant frequency for stability.
- Increasing output capacitance can exacerbate resonant frequency variations and affect stability negatively.
Loading Preview
Sorry, preview is currently unavailable. You can download the paper by clicking the button above.
References (36)
- W. Kim, M. S. Gupta, and D. Brooks, "System Level Analysis of Fast, Per-Core DVFS using On-Chip Switching Regulators," Proceedings of the IEEE International Symposium on High Performance Computer Architecture, pp. 123-134, February 2008.
- H. Per et al., "Haswell: The Fourth-Generation Intel Core Processor," IEEE Micro, Vol. 34, No. 2, pp. 6-20, March 2014.
- E. J. Fluhr et al., "The 12-Core POWER8 Processor With 7.6 Tb s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking," IEEE Journal of Solid-State Circuits, Vol. 50, No. 1, pp. 10-23, January 2015.
- A. Varma et al., "Power Management In the Intel Xeon E5 v3," Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 371-376, July 2015.
- A. J. D'Souza et al., "A Fully Integrated Power-Management Solution for a 65nm CMOS Cellular Handset Chip," Proceedings of the IEEE International Solid-State Circuits Conference, pp. 382-384, February 2011.
- Y.-H. Lee et al., "A DVS Embedded Power Management for High Efficiency Integrated SoC in UWB System," Proceedings of the IEEE Asian Solid-State Circuits Conference, pp. 321-324, December 2009.
- C. Gonzalez et al., "The 24-Core POWER9 Processor With Adaptive Clocking, 25-Gb/s Accelerator Links, and 16-Gb/s PCIe Gen4," IEEE Journal of Solid-State Circuits, Vol. 53, No. 1, pp. 91-101, January 2018.
- E. A. Burton et al., "FIVR-Fully Integrated Voltage Regulators on 4th Generation Intel Core SoCs," Proceedings of the IEEE Applied Power Electronics Conference and Exposition, pp. 432-439, March 2014.
- T. Singh et al., "Zen: An Energy-Efficient High-Performance 86
- Core," IEEE Journal of Solid-State Circuits, Vol. 53, No. 1, pp. 102-114, January 2018.
- I. Vaisband, R. Jakushokas, M. Popovich, A. V. Mezhiba, S. Kose, and E. G. Friedman, On-Chip Power Delivery and Management. Springer, 2016.
- R. Muthukaruppan et al., "A Digitally Controlled Linear Regulator for Per-Core Wide-Range DVFS of Atom Cores in 14nm Tri-Gate CMOS Featuring Non-Linear Control, Adaptive Gain and Code Roaming," Proceedings of the IEEE European Solid State Circuits Conference, pp. 275-278, September 2017.
- I. Vaisband and E. G. Friedman, "Stability of Distributed Power Delivery Systems With Multiple Parallel On-Chip LDO Regulators," IEEE Trans- actions on Power Electronics, Vol. 31, No. 8, pp. 5625-5634, August 2016.
- S. B. Nasir, Y. Lee, and A. Raychowdhury, "Modeling and Analysis of System Stability in a Distributed Power Delivery Network with Embed- ded Digital Linear Regulators," Proceedings of the IEEE International Symposium on Quality Electronic Design, pp. 68-75, March 2014.
- S. Lai, B. Yan, and P. Li, "Localized Stability Checking and Design of IC Power Delivery With Distributed Voltage Regulators," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 32, No. 9, pp. 1321-1334, September 2013.
- J. Shor, "Low Noise Linear Voltage Regulator for Use as an On-Chip PLL Supply in Microprocessors," Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 841-844, May 2010.
- L. Wang et al., "Efficiency, Stability, and Reliability Implications of Unbalanced Current Sharing among Distributed On-Chip Voltage Reg- ulators," IEEE Transactions on Very Large Scale Integration Systems, Vol. 25, No. 11, pp. 3019-3032, November 2017.
- J. Torres et al., "Low Drop-Out Voltage Regulators: Capacitor-less Ar- chitecture Comparison," IEEE Circuits and Systems Magazine, Vol. 14, No. 2, pp. 6-26, May 2014.
- P. Hazucha et al., "Area-Efficient Linear Regulator With Ultra-Fast Load Regulation," IEEE Journal of Solid-State Circuits, Vol. 40, No. 4, pp. 933-940, April 2005.
- A. D. Grasso, G. Palumbo, and S. Pennisi, "Comparison of the Fre- quency Compensation Techniques for CMOS Two-Stage Miller OTAs," IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 55, No. 11, pp. 1099-1103, November 2008.
- R. J. Milliken, J. Silva-Martinez, and E. Sanchez-Sinencio, "Full On- Chip CMOS Low-Dropout Voltage Regulator," IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 54, No. 9, pp. 1879-1890, September 2007.
- X. Zhan, J. Riad, P. Li, and E. Sanchez, "Design Space Exploration of Distributed On-Chip Voltage Regulation Under Stability Constraint," IEEE Transactions on Very Large Scale Integration Systems, Vol. 26, No. 8, pp. 1580-1584, August 2018.
- A. Ciprut and E. G. Friedman, "On the Stability of Distributed On-Chip Low Dropout Regulators," Proceedings of the IEEE Midwest Symposium on Circuits and Systems, pp. 217-220, August 2017.
- G. A. Rincon-Mora, Current Efficient, Low Voltage, Low Dropout Regulators, Ph.D. Thesis, Georgia Institute of Technology, 1996.
- J. F. Bulzacchelli et al., "Dual-Loop System of Distributed Microregula- tors With High DC Accuracy, Load Response Time Below 500 ps, and 85-mV Dropout Voltage," IEEE Journal of Solid-State Circuits, Vol. 47, No. 4, pp. 863-874, April 2012.
- S. Lai and P. Li, "A Fully On-Chip Area-Efficient CMOS Low-Dropout Regulator with Fast Load Regulation," Analog Integrated Circuits and Signal Processing, Vol. 72, No. 2, pp. 433-450, August 2012.
- I. Vaisband, B. Price, S. Kose, Y. Kolla, E. G. Friedman, and J. Fischer, "Distributed LDO Regulators in a 28 nm Power Delivery System," Analog Integrated Circuits and Signal Processing, Vol. 83, No. 3, pp. 295-309, June 2015.
- S. Kose, S. Tam, S. Pinzon, B. McDermott, and E. G. Friedman, "Active Filter-Based Hybrid On-Chip DC-DC Converter for Point-of- Load Voltage Regulation," IEEE Transactions on Very Large Scale Integration Systems, Vol. 21, No. 4, pp. 680-691, April 2013.
- Y. Lu et al., "A Fully-Integrated Low-Dropout Regulator With Full- Spectrum Power Supply Rejection," IEEE Transactions on Circuits and Systems I: Regular Papers, Vol. 62, No. 3, pp. 707-716, March 2015.
- S. Bu, J. Guo, and K. N. Leung, "A 200-ps-Response-Time Output- Capacitorless Low-Dropout Regulator With Unity-Gain Bandwidth >100 MHz in 130-nm CMOS," IEEE Journal of Solid-State Circuits, Vol. 33, No. 4, pp. 3232-3246, April 2017.
- J. E. Colgate, The Control of Dynamically Interacting Systems, Ph.D. Thesis, Massachusetts Institute of Technology, 1988.
- P. Triverio, S. Grivet-Talocia, M. S. Nakhla, F. G. Canavero, and R. Achar, "Stability, Causality, and Passivity in Electrical Interconnect Models," IEEE Transactions on Advanced Packaging, Vol. 30, No. 4, pp. 795-808, November 2007.
- M. S. Gupta et al., "Understanding Voltage Variations in Chip Multi- processors using a Distributed Power-Delivery Network," Proceedings of the IEEE Design, Automation and Test in Europe Conference and Exhibition, pp. 1-6, April 2007.
- "Intel Pentium 4 Processor in the 423 Pin Package / Intel 850 Chipset Platform Design Guide," Intel, Santa Clara, CA, February 2002.
- "Voltage Regulator Module (VRM) and Enterprise Voltage Regulator- Down (EVRD) 11.1," Intel, Santa Clara, CA, February 2009. Albert Ciprut (S'2015) received the B.S. degree in electronics engineering from Sabanci University, Istanbul, Turkey, in 2013, and the M.S. degree in electrical and computer engineering from the Uni- versity of Rochester, Rochester, New York, in 2016, where he is currently pursing the Ph.D. degree in electrical engineering under the supervision of Prof. Eby G. Friedman. He was an intern with the Power Team, Google Inc., Mountain View, California in 2016. His current research interests include memory systems, inte- grated circuit design based on emerging memory technologies, and on-chip power delivery systems.
- Eby G. Friedman (F'2000) received the B.S. degree from Lafayette College in 1979, and the M.S. and Ph.D. degrees from the University of California,