Constrained signal selection for post-silicon validation (original) (raw)

Efficient Trace Signal Selection for Post Silicon Validation and Debug

prabhat mishra

2011 24th Internatioal Conference on VLSI Design, 2011

View PDFchevron_right

Efficient combination of trace and scan signals for post silicon validation and debug

Prabhu D A Y A L Mishra

2011 IEEE International Test Conference, 2011

View PDFchevron_right

Simulation-based signal selection for state restoration in silicon debug

Calvin McCarter

2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2011

View PDFchevron_right

Dynamic Selection of Trace Signals for Post-Silicon Debug

allon adir

2013 14th International Workshop on Microprocessor Test and Verification, 2013

View PDFchevron_right

Trace signal selection to enhance timing and logic visibility in post-silicon validation

Hamid Shojaei

2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2010

View PDFchevron_right

On-chip Tracing for Bit-Flip Detection during Post-silicon Validation

Amin Vali

2018

View PDFchevron_right

Using Non-trivial Logic Implications for Trace Buffer-Based Silicon Debug

Michael Hsiao

2009 Asian Test Symposium, 2009

View PDFchevron_right

Cost-effective analysis of post-silicon functional coverage events

Avi Ziv

Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017

View PDFchevron_right

Signal integrity management in an SoC physical design flow

Ravi Vaidyanathan

2003

View PDFchevron_right

A bottom-up approach to on-chip signal integrity

Alessandro Bogliolo

Integrated Circuit and System Design. Power …, 2003

View PDFchevron_right

Automated Selection of Signals to Observe for Efficient Silicon Debug

N. Touba

2009 27th IEEE VLSI Test Symposium, 2009

View PDFchevron_right

Signal integrity verification using high speed monitors

Victor Avendaño

2004

View PDFchevron_right

SCARE: Side-Channel Analysis Based Reverse Engineering for Post-Silicon Validation

Aswin Krishna

2012 25th International Conference on VLSI Design, 2012

View PDFchevron_right

Fundamentals of Signal Integrity Primer

Jimmy Lai

View PDFchevron_right

Signal Integrity Enhancement in Digital Circuits

Jorge Semião

IEEE Design & Test of Computers, 2000

View PDFchevron_right

Fault Isolation with ‘ X ’ Filter for Bogus Signals and Intensive Scan Cell Sequence Validation

wooi kin khor

2018

View PDFchevron_right

A unified methodology for pre-silicon verification and post-silicon validation

allon adir

2011 Design, Automation & Test in Europe, 2011

View PDFchevron_right

Testing SoC Interconnects for Signal Integrity Using Boundary Scan

nisar Ahmed

2003

View PDFchevron_right

Improving the Accuracy and Efficiency of Time-Independent Trace Replay

George Markomanolis

2012

View PDFchevron_right

Mixed-Signal Overclocked I/O Buffers Model Abstraction for Signal Integrity Assessment

Malek Souilem

IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2018

View PDFchevron_right

Constraint generation for software-based post-silicon bug masking with scalable resynthesis technique for constraint optimization

Chien-Nan Liu

2011 12th International Symposium on Quality Electronic Design, 2011

View PDFchevron_right

Challenges for signal integrity prediction in the next decade

José Rubio

Materials Science in Semiconductor Processing, 2003

View PDFchevron_right

ALIASING-FREE COMPACTION IN TESTING CORES BASED SYSTEM-ON-CHIP (SOC) USING COMPATIBILITY OF RESPONSE DATA OUTPUTS

Mehmet Sahinoglu

2004

View PDFchevron_right

In-System Silicon Validation and Debug

Miron Abramovici

IEEE Design & Test of Computers, 2000

View PDFchevron_right

X-Sand Filter: An X-Tolerant Response Compaction Technique for Faster-Than-At-Speed Testing

Salman Ahmad

April 2020, 2020

View PDFchevron_right

On selecting testable paths in scan designs

Sudhakar Reddy

Proceedings The Seventh IEEE European Test Workshop, 2000

View PDFchevron_right

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity

Nisar Ahmed

2003

View PDFchevron_right

An efficient test relaxation technique for combinational circuits based on critical path tracing

Aiman H. El-Maleh

2003

View PDFchevron_right

Built-In Sensor for Signal Integrity Faults in Digital Interconnect Signals

Victor Avendaño

IEEE Transactions on Very Large Scale Integration Systems, 2010

View PDFchevron_right