ReViCe: Reusing Victim Cache to Prevent Speculative Cache Leakage (original) (raw)
Related papers
DAWG: A Defense Against Cache Timing Attacks in Speculative Execution Processors
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2018
Speculose: Analyzing the Security Implications of Speculative Execution in CPUs
Cornell University - arXiv, 2018
SoK: Hardware Defenses Against Speculative Execution Attacks
2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021
Timed speculative attacks exploiting store-to-load forwarding bypassing cache-based countermeasures
Proceedings of the 59th ACM/IEEE Design Automation Conference
Spectre Attacks: Exploiting Speculative Execution
Isolating Speculative Data to Prevent Transient Execution Attacks
IEEE Computer Architecture Letters, 2019
Mitigating Risk of Spectre and Meltdown Vulnerabilities
WARSE The World Academy of Research in Science and Engineering
Efficiently Mitigating Transient Execution Attacks using the Unmapped Speculation Contract
2020
Understanding Selective Delay as a Method for Efficient Secure Speculative Execution
IEEE Transactions on Computers
On the Spectre and Meltdown Processor Security Vulnerabilities
IEEE Micro, 2019
SPOILER: Speculative Load Hazards Boost Rowhammer and Cache Attacks
Leveraging Hardware Transactional Memory for Cache Side-Channel Defenses
Proceedings of the 2018 on Asia Conference on Computer and Communications Security, 2018
Speculative interference attacks: breaking invisible speculation schemes
Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021
New Models for Understanding and Reasoning about Speculative Execution Attacks
2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA)
Hardware Prefetchers Leak: A Revisit of SVF for Cache-Timing Attacks
2012 45th Annual IEEE/ACM International Symposium on Microarchitecture Workshops, 2012
Efficient invisible speculative execution through selective delay and value prediction
Proceedings of the 46th International Symposium on Computer Architecture
Newcache: Secure Cache Architecture Thwarting Cache Side-Channel Attacks
IEEE Micro
SpecShield: Shielding Speculative Data from Microarchitectural Covert Channels
2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT), 2019
Prime+Abort: A Timer-Free High-Precision L3 Cache Attack using Intel TSX
2017
The Impact of Speculative Execution on SMT Processors
International Journal of Parallel Programming, 2007
Proceedings of the 8th ACM International Systems and Storage Conference, 2015
Eliminating Cache-Based Timing Attacks with Instruction-Based Scheduling
Lecture Notes in Computer Science, 2013
Hardware-software integrated approaches to defend against software cache-based side channel attacks
2009 IEEE 15th International Symposium on High Performance Computer Architecture, 2009
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA), 2020
A survey on attack vectors in stack cache memory
Integration, 2020
Speculative execution for hiding memory latency
New Results on Instruction Cache Attacks
Lecture Notes in Computer Science, 2010
LiD-CAT: A Lightweight Detector for Cache ATtacks
2020 IEEE European Test Symposium (ETS), 2020
Cache-related Hardware Capabilities and Their Impact on Information Security
ACM Computing Surveys, 2022
Cache-timing attacks without a profiling phase
TURKISH JOURNAL OF ELECTRICAL ENGINEERING & COMPUTER SCIENCES, 2018
A novel cache architecture with enhanced performance and security
2008
2022
Journal of Cloud Computing, 2017
Seclusive Cache Hierarchy for Mitigating Cross-Core Cache and Coherence Directory Attacks
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021
Improved implementations of the speculative memory access mechanism specMEM
Innovative Architecture for Future Generation High-Performance Processors and Systems (Cat. No.PR00650), 1999