ReViCe: Reusing Victim Cache to Prevent Speculative Cache Leakage (original) (raw)

DAWG: A Defense Against Cache Timing Attacks in Speculative Execution Processors

Joel Emer

2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), 2018

View PDFchevron_right

Speculose: Analyzing the Security Implications of Speculative Execution in CPUs

Giorgi Maisuradze

Cornell University - arXiv, 2018

View PDFchevron_right

SoK: Hardware Defenses Against Speculative Execution Attacks

Ruby B. Lee

2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021

View PDFchevron_right

Timed speculative attacks exploiting store-to-load forwarding bypassing cache-based countermeasures

Anirban Chakraborty

Proceedings of the 59th ACM/IEEE Design Automation Conference

View PDFchevron_right

Spectre Attacks: Exploiting Speculative Execution

Maverick Johnathan

View PDFchevron_right

Isolating Speculative Data to Prevent Transient Execution Attacks

Kristin Barber

IEEE Computer Architecture Letters, 2019

View PDFchevron_right

Mitigating Risk of Spectre and Meltdown Vulnerabilities

WARSE The World Academy of Research in Science and Engineering

View PDFchevron_right

Efficiently Mitigating Transient Execution Attacks using the Unmapped Speculation Contract

Anton Cao

2020

View PDFchevron_right

Understanding Selective Delay as a Method for Efficient Secure Speculative Execution

Alexandra Jimborean

IEEE Transactions on Computers

View PDFchevron_right

On the Spectre and Meltdown Processor Security Vulnerabilities

John Hennessy

IEEE Micro, 2019

View PDFchevron_right

SPOILER: Speculative Load Hazards Boost Rowhammer and Cache Attacks

Nelson Freitas

View PDFchevron_right

Leveraging Hardware Transactional Memory for Cache Side-Channel Defenses

Zeyu Mi

Proceedings of the 2018 on Asia Conference on Computer and Communications Security, 2018

View PDFchevron_right

Speculative interference attacks: breaking invisible speculation schemes

Ron Gabor

Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021

View PDFchevron_right

New Models for Understanding and Reasoning about Speculative Execution Attacks

Ruby B. Lee

2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA)

View PDFchevron_right

Hardware Prefetchers Leak: A Revisit of SVF for Cache-Timing Attacks

Debdeep Mukhopadhyay

2012 45th Annual IEEE/ACM International Symposium on Microarchitecture Workshops, 2012

View PDFchevron_right

Efficient invisible speculative execution through selective delay and value prediction

Magnus Själander

Proceedings of the 46th International Symposium on Computer Architecture

View PDFchevron_right

Newcache: Secure Cache Architecture Thwarting Cache Side-Channel Attacks

Ruby B. Lee

IEEE Micro

View PDFchevron_right

SpecShield: Shielding Speculative Data from Microarchitectural Covert Channels

Kristin Barber

2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT), 2019

View PDFchevron_right

Prime+Abort: A Timer-Free High-Precision L3 Cache Attack using Intel TSX

Leo Porter

2017

View PDFchevron_right

The Impact of Speculative Execution on SMT Processors

Jean-luc Gaudiot

International Journal of Parallel Programming, 2007

View PDFchevron_right

Disruptive prefetching

Ruby B. Lee

Proceedings of the 8th ACM International Systems and Storage Conference, 2015

View PDFchevron_right

Eliminating Cache-Based Timing Attacks with Instruction-Based Scheduling

Pablo Buiras

Lecture Notes in Computer Science, 2013

View PDFchevron_right

Hardware-software integrated approaches to defend against software cache-based side channel attacks

Jean-Pierre Seifert

2009 IEEE 15th International Symposium on High Performance Computer Architecture, 2009

View PDFchevron_right

Speculative Data-Oblivious Execution: Mobilizing Safe Prediction For Safe and Efficient Speculative Execution

Jiyong Yu

2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA), 2020

View PDFchevron_right

A survey on attack vectors in stack cache memory

William Francois

Integration, 2020

View PDFchevron_right

Speculative execution for hiding memory latency

Antonio Gonzalez

View PDFchevron_right

New Results on Instruction Cache Attacks

Billy Bob

Lecture Notes in Computer Science, 2010

View PDFchevron_right

LiD-CAT: A Lightweight Detector for Cache ATtacks

Tara Ghasempouri

2020 IEEE European Test Symposium (ETS), 2020

View PDFchevron_right

Cache-related Hardware Capabilities and Their Impact on Information Security

Rodrigo Rubira Branco

ACM Computing Surveys, 2022

View PDFchevron_right

Cache-timing attacks without a profiling phase

Cemal Yilmaz

TURKISH JOURNAL OF ELECTRICAL ENGINEERING & COMPUTER SCIENCES, 2018

View PDFchevron_right

A novel cache architecture with enhanced performance and security

Ruby B. Lee

2008

View PDFchevron_right

Branch History Injection: On the Effectiveness of Hardware Mitigations Against Cross-Privilege Spectre-v2 Attacks

Pietro Frigo

2022

View PDFchevron_right

Keep the PokerFace on! Thwarting cache side channel attacks by memory bus monitoring and cache obfuscation

Arun Raj

Journal of Cloud Computing, 2017

View PDFchevron_right

Seclusive Cache Hierarchy for Mitigating Cross-Core Cache and Coherence Directory Attacks

Vinod Ganesan

2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021

View PDFchevron_right

Improved implementations of the speculative memory access mechanism specMEM

Kazuhiko Ohno

Innovative Architecture for Future Generation High-Performance Processors and Systems (Cat. No.PR00650), 1999

View PDFchevron_right