ENOS: Energy-Aware Network Operator Search for Hybrid Digital and Compute-in-Memory DNN Accelerators (original) (raw)
Related papers
APNAS: Accuracy-and-Performance-Aware Neural Architecture Search for Neural Hardware Accelerators
IEEE Access
PNeuro: A scalable energy-efficient programmable hardware accelerator for neural networks
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE)
Using Dataflow to Optimize Energy Efficiency of Deep Neural Network Accelerators
IEEE Micro, 2017
2018
Deep Learning Acceleration with Neuron-to-Memory Transformation
Mohammad Samragh, Mohsen Imani
IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2020
ALWANN: Automatic Layer-Wise Approximation of Deep Neural Network Accelerators without Retraining
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019
TaxoNN: A Light-Weight Accelerator for Deep Neural Network Training
2020 IEEE International Symposium on Circuits and Systems (ISCAS), 2020
Compute-in-Time for Deep Neural Network Accelerators: Challenges and Prospects
14.5 Eyeriss: An energy-efficient reconfigurable accelerator for deep convolutional neural networks
2016 IEEE International Solid-State Circuits Conference (ISSCC), 2016
ΔNN: Power-efficient Neural Network Acceleration using Differential Weights
IEEE Micro, 2019
An Energy-Efficient Accelerator Architecture with Serial Accumulation Dataflow for Deep CNNs
2020 18th IEEE International New Circuits and Systems Conference (NEWCAS), 2020
AxP: A HW-SW Co-Design Pipeline for Energy-Efficient Approximated ConvNets via Associative Matching
Applied Sciences
TEA-DNN: the Quest for Time-Energy-Accuracy Co-optimized Deep Neural Networks
2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 2019
CENNA: Cost-Effective Neural Network Accelerator
Electronics, 2020
Accelerating Deep Neural Networks implementation: A survey
IET Computers & Digital Techniques, 2021
IEEE access, 2024
A Low-Power Accelerator for Deep Neural Networks with Enlarged Near-Zero Sparsity
ArXiv, 2017
Energy-Efficient Convolutional Neural Networks via Recurrent Data Reuse
2019
EcoFlow: Efficient Convolutional Dataflows for Low-Power Neural Network Accelerators
2022
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), 2021
Energy Efficient Neural Computing: A Study of Cross-Layer Approximations
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2018
Eyeriss v2: A Flexible Accelerator for Emerging Deep Neural Networks on Mobile Devices
IEEE Journal on Emerging and Selected Topics in Circuits and Systems
HAO: Hardware-aware Neural Architecture Optimization for Efficient Inference
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2021
MulNet: A Flexible CNN Processor With Higher Resource Utilization Efficiency for Constrained Devices
IEEE Access, 2019
CompactNet: High Accuracy Deep Neural Network Optimized for On-Chip Implementation
2018 IEEE International Conference on Big Data (Big Data), 2018
SHARP: An Adaptable, Energy-Efficient Accelerator for Recurrent Neural Networks
ACM Transactions on Embedded Computing Systems
An ASIC Accelerator for QNN with Variable Precision and Tunable Energy-Efficiency
Hardware-Aware Neural Architecture Search: Survey and Taxonomy
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021
X-DNNs: Systematic Cross-Layer Approximations for Energy-Efficient Deep Neural Networks
Journal of Low Power Electronics, 2018
Deep Convolutional Neural Network Architecture With Reconfigurable Computation Patterns
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2017
An energy-efficient memory-based high-throughput VLSI architecture for convolutional networks
2015
Optimizing Memory-Access Patterns for Deep Learning Accelerators
ArXiv, 2020
ESSA: An energy-Aware bit-Serial streaming deep convolutional neural network accelerator
Journal of Systems Architecture, 2020
Design Flow of Accelerating Hybrid Extremely Low Bit-Width Neural Network in Embedded FPGA
2018 28th International Conference on Field Programmable Logic and Applications (FPL)