ENOS: Energy-Aware Network Operator Search for Hybrid Digital and Compute-in-Memory DNN Accelerators (original) (raw)

APNAS: Accuracy-and-Performance-Aware Neural Architecture Search for Neural Hardware Accelerators

ABDULLAH HANIF

IEEE Access

View PDFchevron_right

PNeuro: A scalable energy-efficient programmable hardware accelerator for neural networks

Michel Paindavoine

2018 Design, Automation & Test in Europe Conference & Exhibition (DATE)

View PDFchevron_right

Using Dataflow to Optimize Energy Efficiency of Deep Neural Network Accelerators

Joel Emer

IEEE Micro, 2017

View PDFchevron_right

Understanding the Limitations of Existing Energy-Efficient Design Approaches for Deep Neural Networks

Joel Emer

2018

View PDFchevron_right

Deep Learning Acceleration with Neuron-to-Memory Transformation

Mohammad Samragh, Mohsen Imani

IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2020

View PDFchevron_right

ALWANN: Automatic Layer-Wise Approximation of Deep Neural Network Accelerators without Retraining

ABDULLAH HANIF

2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019

View PDFchevron_right

TaxoNN: A Light-Weight Accelerator for Deep Neural Network Training

Dara Rahmati

2020 IEEE International Symposium on Circuits and Systems (ISCAS), 2020

View PDFchevron_right

Compute-in-Time for Deep Neural Network Accelerators: Challenges and Prospects

Nabil J . Sarhan

View PDFchevron_right

14.5 Eyeriss: An energy-efficient reconfigurable accelerator for deep convolutional neural networks

Joel Emer

2016 IEEE International Solid-State Circuits Conference (ISSCC), 2016

View PDFchevron_right

ΔNN: Power-efficient Neural Network Acceleration using Differential Weights

azam ghanbari

IEEE Micro, 2019

View PDFchevron_right

An Energy-Efficient Accelerator Architecture with Serial Accumulation Dataflow for Deep CNNs

Shervin Vakili

2020 18th IEEE International New Circuits and Systems Conference (NEWCAS), 2020

View PDFchevron_right

AxP: A HW-SW Co-Design Pipeline for Energy-Efficient Approximated ConvNets via Associative Matching

Luca Mocerino

Applied Sciences

View PDFchevron_right

TEA-DNN: the Quest for Time-Energy-Accuracy Co-optimized Deep Neural Networks

mohamed sabry

2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 2019

View PDFchevron_right

CENNA: Cost-Effective Neural Network Accelerator

Ki-Seok Chung

Electronics, 2020

View PDFchevron_right

Accelerating Deep Neural Networks implementation: A survey

Mariem Dhouibi

IET Computers & Digital Techniques, 2021

View PDFchevron_right

Twofold Sparsity: Joint Bit- and Network-level Sparsity for Energy-efficient Deep Neural Network using RRAM Based Compute-In-Memory

Foroozan Karimzadeh

IEEE access, 2024

View PDFchevron_right

A Low-Power Accelerator for Deep Neural Networks with Enlarged Near-Zero Sparsity

Yifan Qin

ArXiv, 2017

View PDFchevron_right

Energy-Efficient Convolutional Neural Networks via Recurrent Data Reuse

Luca Mocerino

2019

View PDFchevron_right

EcoFlow: Efficient Convolutional Dataflows for Low-Power Neural Network Accelerators

Michaela Blott

2022

View PDFchevron_right

GoSPA: An Energy-efficient High-performance Globally Optimized SParse Convolutional Neural Network Accelerator

Yang Sui

2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), 2021

View PDFchevron_right

Energy Efficient Neural Computing: A Study of Cross-Layer Approximations

priyadarshini panda

IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2018

View PDFchevron_right

Eyeriss v2: A Flexible Accelerator for Emerging Deep Neural Networks on Mobile Devices

Joel Emer

IEEE Journal on Emerging and Selected Topics in Circuits and Systems

View PDFchevron_right

HAO: Hardware-aware Neural Architecture Optimization for Efficient Inference

Hayden K H So

2021 IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2021

View PDFchevron_right

MulNet: A Flexible CNN Processor With Higher Resource Utilization Efficiency for Constrained Devices

Rafay Hasan

IEEE Access, 2019

View PDFchevron_right

CompactNet: High Accuracy Deep Neural Network Optimized for On-Chip Implementation

Mariana García

2018 IEEE International Conference on Big Data (Big Data), 2018

View PDFchevron_right

SHARP: An Adaptable, Energy-Efficient Accelerator for Recurrent Neural Networks

Reza yazdani

ACM Transactions on Embedded Computing Systems

View PDFchevron_right

An ASIC Accelerator for QNN with Variable Precision and Tunable Energy-Efficiency

Gian Singh

View PDFchevron_right

Hardware-Aware Neural Architecture Search: Survey and Taxonomy

Kaoutar El Maghraoui

Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

View PDFchevron_right

X-DNNs: Systematic Cross-Layer Approximations for Energy-Efficient Deep Neural Networks

Abdullah Hanif

Journal of Low Power Electronics, 2018

View PDFchevron_right

Deep Convolutional Neural Network Architecture With Reconfigurable Computation Patterns

karthika gidijala

IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2017

View PDFchevron_right

An energy-efficient memory-based high-throughput VLSI architecture for convolutional networks

Min-Sun Keel

2015

View PDFchevron_right

Optimizing Memory-Access Patterns for Deep Learning Accelerators

Randy Huang

ArXiv, 2020

View PDFchevron_right

ESSA: An energy-Aware bit-Serial streaming deep convolutional neural network accelerator

Ching Te Chiu

Journal of Systems Architecture, 2020

View PDFchevron_right

Design Flow of Accelerating Hybrid Extremely Low Bit-Width Neural Network in Embedded FPGA

Yonghua Lin

2018 28th International Conference on Field Programmable Logic and Applications (FPL)

View PDFchevron_right