A Survey Of Architectural Approaches for Managing Embedded DRAM and Non-volatile On-chip Caches (original) (raw)
Related papers
Low Power Data-Aware STT-RAM based Hybrid Cache Architecture
A novel SRAM — STT-MRAM hybrid cache implementation improving cache performance
2017 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), 2017
System Level Exploration of a STT-MRAM based Level 1 Data-Cache
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015, 2015
Relaxing non-volatility for fast and energy-efficient STT-RAM caches
2011 IEEE 17th International Symposium on High Performance Computer Architecture, 2011
Performance and Energy-Efficient Design of STT-RAM Last-Level Cache
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2018
Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability
IEEE Micro, 2000
Process Variation Tolerant 3T1D-Based Cache Architectures
40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), 2007
Design and optimization of large size and low overhead off-chip caches
IEEE Transactions on Computers, 2004
Multi retention level STT-RAM cache designs with a dynamic refresh scheme
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, 2011
Enabling architectural innovations using non-volatile memory
Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI - GLSVLSI '11, 2011
Sectored DRAM: An Energy-Efficient High-Throughput and Practical Fine-Grained DRAM Architecture
2022
2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA), 2013
2015
Microarchitectural Exploration of STT-MRAM Last-level Cache Parameters for Energy-efficient Devices
ACM Transactions on Embedded Computing Systems
On-chip MRAM as a high-bandwidth, low-latency replacement for DRAM physical memories
2002
Research Problems and Opportunities in Memory Systems
2017
Improving the Performance of STT-MRAM LLC Through Enhanced Cache Replacement Policy
Architecture of Computing Systems – ARCS 2018, 2018
Embedded memory hierarchy exploration based on magnetic RAM
2013 IEEE Faible Tension Faible Consommation, 2013
Performance and Power Solutions for Caches Using 8T SRAM Cells
2012 45th Annual IEEE/ACM International Symposium on Microarchitecture Workshops, 2012
An architecture-level cache simulation framework supporting advanced PMA STT-MRAM
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH´15), 2015
Monolithically Integrating Non-Volatile Main Memory over the Last-Level Cache
ACM Transactions on Architecture and Code Optimization, 2021
When to forget: A system-level perspective on STT-RAMs
2012
Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference - DAC '14, 2014
A coherent hybrid SRAM and STT-RAM L1 cache architecture for shared memory multicores
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), 2014
IEEE Transactions on Magnetics, 2011
Analyzing the optimal ratio of SRAM banks in hybrid caches
2010
The Journal of Supercomputing, 2017
An hybrid eDRAM/SRAM macrocell to implement first-level data caches
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture - Micro-42, 2009
Embedded DRAM architectural trade-offs
Proceedings Design, Automation and Test in Europe, 1998
An efficient cache replacement algorithm for minimizing the error rate in L2-STT-MRAM caches
2016 Fourth International Conference on Parallel, Distributed and Grid Computing (PDGC), 2016
A reconfigurable cache memory with heterogeneous banks
Juan Carlos Moure, DOLORES REXACHS, emilio luque
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), 2010