A Survey Of Architectural Approaches for Managing Embedded DRAM and Non-volatile On-chip Caches (original) (raw)

Low Power Data-Aware STT-RAM based Hybrid Cache Architecture

Mohsen Imani

View PDFchevron_right

A novel SRAM — STT-MRAM hybrid cache implementation improving cache performance

Odilia Coi

2017 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), 2017

View PDFchevron_right

System Level Exploration of a STT-MRAM based Level 1 Data-Cache

Francisco Tirado

Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015, 2015

View PDFchevron_right

Relaxing non-volatility for fast and energy-efficient STT-RAM caches

Mircea Stan

2011 IEEE 17th International Symposium on High Performance Computer Architecture, 2011

View PDFchevron_right

Performance and Energy-Efficient Design of STT-RAM Last-Level Cache

asif bhutta khan

IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2018

View PDFchevron_right

Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability

Ramon Canal

IEEE Micro, 2000

View PDFchevron_right

Process Variation Tolerant 3T1D-Based Cache Architectures

Ramon Canal

40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), 2007

View PDFchevron_right

Design and optimization of large size and low overhead off-chip caches

Zhichun Zhu

IEEE Transactions on Computers, 2004

View PDFchevron_right

Multi retention level STT-RAM cache designs with a dynamic refresh scheme

Zhong Liang Ong

Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, 2011

View PDFchevron_right

Enabling architectural innovations using non-volatile memory

Karthik Swaminathan

Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI - GLSVLSI '11, 2011

View PDFchevron_right

Sectored DRAM: An Energy-Efficient High-Throughput and Practical Fine-Grained DRAM Architecture

Giray Yaglikci

2022

View PDFchevron_right

Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM

Linus Lu

2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA), 2013

View PDFchevron_right

The Authors' Model of Energy, Bandwidth, and Latency for Dram Technologies Enables Exploration of Memory Hierarchies That Combine Heterogeneous Memory Technologies with Different Attributes. Analysis Shows That the Gap between On-and Off-package Dram Technologies Is Narrower than That Found between

Evgeny Bolotin

2015

View PDFchevron_right

Microarchitectural Exploration of STT-MRAM Last-level Cache Parameters for Energy-efficient Devices

Christian Tenllado

ACM Transactions on Embedded Computing Systems

View PDFchevron_right

On-chip MRAM as a high-bandwidth, low-latency replacement for DRAM physical memories

John Keaty

2002

View PDFchevron_right

Research Problems and Opportunities in Memory Systems

Jawad Kamal

View PDFchevron_right

A Comprehensive Review of the Challenges and Opportunities Confronting Cache Memory System Performance

Siva Pranav Kumar Timmireddy

2017

View PDFchevron_right

Improving the Performance of STT-MRAM LLC Through Enhanced Cache Replacement Policy

Florent Bruguier

Architecture of Computing Systems – ARCS 2018, 2018

View PDFchevron_right

Embedded memory hierarchy exploration based on magnetic RAM

Luís Vitório Cargnini

2013 IEEE Faible Tension Faible Consommation, 2013

View PDFchevron_right

Performance and Power Solutions for Caches Using 8T SRAM Cells

Amirali Baniasadi

2012 45th Annual IEEE/ACM International Symposium on Microarchitecture Workshops, 2012

View PDFchevron_right

Refresh Matters: Energy and Performance Analysis of Large Last-Level Cache Built with Gain Cell Embedded DRAM

Linus Lu

View PDFchevron_right

An architecture-level cache simulation framework supporting advanced PMA STT-MRAM

Aida Todri-Sanial

Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH´15), 2015

View PDFchevron_right

Monolithically Integrating Non-Volatile Main Memory over the Last-Level Cache

devesh singh

ACM Transactions on Architecture and Code Optimization, 2021

View PDFchevron_right

When to forget: A system-level perspective on STT-RAMs

Ram Raghav

2012

View PDFchevron_right

Leveraging Data Lifetime for Energy-Aware Last Level Non-Volatile SRAM Caches using Redundant Store Elimination

Keng-Hao Yang

Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference - DAC '14, 2014

View PDFchevron_right

A coherent hybrid SRAM and STT-RAM L1 cache architecture for shared memory multicores

Zhong Liang Ong

2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), 2014

View PDFchevron_right

Performance, Power, and Reliability Tradeoffs of STT-RAM Cell Subject to Architecture-Level Requirement

Zhong Liang Ong

IEEE Transactions on Magnetics, 2011

View PDFchevron_right

Analyzing the optimal ratio of SRAM banks in hybrid caches

José Duato

View PDFchevron_right

Understanding the impact of emerging non-volatile memories on high-performance, io-intensive computing

Todor I Mollov

2010

View PDFchevron_right

An energy-efficient 3D-stacked STT-RAM cache architecture for cloud processors: the effect on emerging scale-out workloads

Adnan Nasri

The Journal of Supercomputing, 2017

View PDFchevron_right

An hybrid eDRAM/SRAM macrocell to implement first-level data caches

Ramon Canal

Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture - Micro-42, 2009

View PDFchevron_right

Embedded DRAM architectural trade-offs

Soren Hein

Proceedings Design, Automation and Test in Europe, 1998

View PDFchevron_right

An efficient cache replacement algorithm for minimizing the error rate in L2-STT-MRAM caches

Asifa Baba

2016 Fourth International Conference on Parallel, Distributed and Grid Computing (PDGC), 2016

View PDFchevron_right

A reconfigurable cache memory with heterogeneous banks

Juan Carlos Moure, DOLORES REXACHS, emilio luque

2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), 2010

View PDFchevron_right