An ASIC-Ready 1.25–6.25Gb/s SerDes in 90nm CMOS with multi-standard compatibility (original) (raw)

A low jitter, low power, CMOS 1.25-3.125Gbps transceiver

Kazi Hossain

2001

View PDFchevron_right

2 Gbps SerDes Design Based on IBM Cu-11 (130nm) Standard Cell Technology

Rashed Bhatti

2008

View PDFchevron_right

ISSCC 2007 / SESSION 24 / MULTI-GB/s TRANSCEIVERS / 24.1 24.1 A 12.5Gb/s SerDes in 65nm CMOS Using a Baud-Rate ADC with Digital Receiver Equalization and Clock Recovery

元莆 林

View PDFchevron_right

A 2.488–11.2 Gb/s SerDes in 40 nm low-leakage CMOS with multi-protocol compatibility for FPGA applications

Shaishav Desai

Analog Integrated Circuits and Signal Processing, 2014

View PDFchevron_right

An 8.0-Gb/s HyperTransport Transceiver for 32-nm SOI-CMOS Server Processors

Alvin Loke

IEEE Journal of Solid-State Circuits, 2000

View PDFchevron_right

An 8Gb/s/link, 6.5mW/Gb/s memory interface with bimodal request bus

Catherine Chen

2009 IEEE Asian Solid-State Circuits Conference, 2009

View PDFchevron_right

A 2.488–11.2 Gb/s multi-protocol SerDes in 40nm low-leakage CMOS for FPGA applications

Shaishav Desai

2012 IEEE 55th International Midwest Symposium on Circuits and Systems (MWSCAS), 2012

View PDFchevron_right

40-43-gb/s oc-768 16:1 MUX/CMU chipset with SFI-5 compliance

M. Tarsia, V. Condito

IEEE Journal of Solid-State Circuits, 2003

View PDFchevron_right

A 1 Tbit/s Bandwidth 1024 b PLL/DLL-Less eDRAM PHY Using 0.3 V 0.105 mW/Gbps Low-Swing IO for CoWoS Application

Saman Adham

IEEE Journal of Solid-State Circuits, 2014

View PDFchevron_right

A 10-Gb/s CML I/O Circuit for Backplane Interconnection in 0.18-$\mu$m CMOS Technology

Ching Te Chiu

IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2009

View PDFchevron_right

A 10-GB/s SONET-compliant CMOS transceiver with low crosstalk and intrinsic jitter

Marcello Vena

IEEE Journal of Solid-State Circuits, 2004

View PDFchevron_right

A 2.6-GByte/s multipurpose chip-to-chip interface

Myles Allen

IEEE Journal of Solid-State Circuits, 1998

View PDFchevron_right

Analog-DFE-based 16Gb/s SerDes in 40nm CMOS that operates across 34dB loss channels at Nyquist with a baud rate CDR and 1.2Vpp voltage-mode driver

Giuseppe Surace

2011 IEEE International Solid-State Circuits Conference, 2011

View PDFchevron_right

LVDS I/O interface for Gb/s-per-pin operation in 0.35-μm CMOS

Davide Vecchi

IEEE Journal of Solid-state Circuits, 2001

View PDFchevron_right

Circuit Techniques to Enable 430Gb/s/mm2 Proximity Communication

Ivan Sutherland

2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, 2007

View PDFchevron_right

POWER EFFICIENT SERDES TRANSCEIVERS FOR HIGH-SPEED SERIAL COMMUNICATION– REVIEW

IAEME Publication

IAEME PUBLICATION, 2021

View PDFchevron_right

Design of a high-speed 7.2 Gbps/lane receiver for MIPI D-PHY interface utilizing 18 nm FinFET technology

International Journal of Electrical and Computer Engineering (IJECE)

International Journal of Electrical and Computer Engineering (IJECE), 2024

View PDFchevron_right

A 6.25Gb/s binary transceiver in 0.13-/spl mu/m CMOS for serial data transmission across high loss legacy backplane channels

Vikas Gupta

IEEE Journal of Solid-state Circuits, 2005

View PDFchevron_right

A 100mW 4/spl times/10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects

Daniel Erni

IEEE Journal of Solid-state Circuits, 2005

View PDFchevron_right

A 6.4/3.2/1.6 Gb/s low power interface with all digital clock multiplier for on-the-fly rate switching

Brian Tsang

Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

View PDFchevron_right

A 16Gb/s Source-Series Terminated Transmitter in 65nm CMOS SOI

Jonas Weiss, Thomas Toifl, Thomas Morf

2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, 2007

View PDFchevron_right

10.1 A pin-efficient 20.83Gb/s/wire 0.94pJ/bit forwarded clock CNRZ-5-coded SerDes up to 12mm for MCM packages in 28nm CMOS

Anant Singh

2016 IEEE International Solid-State Circuits Conference (ISSCC), 2016

View PDFchevron_right

A 22-Gb/s PAM-4 Receiver in 90-nm CMOS SOI Technology

Robert Reutemann, Jonas Weiss, Thomas Toifl, Thomas Morf

IEEE Journal of Solid-State Circuits, 2006

View PDFchevron_right

A 1.1-V 10-nm Class 6.4-Gb/s/Pin 16-Gb DDR5 SDRAM With a Phase Rotator-ILO DLL, High-Speed SerDes, and DFE/FFE Equalization Scheme for Rx/Tx

junhyun chun

IEEE Journal of Solid-State Circuits, 2020

View PDFchevron_right

5-Gb/s linear re-driver in 180 nm CMOS technology

Phuc Pham

Microelectronics Journal, 2018

View PDFchevron_right

A single-chip 9-32 mb/s read/write channel for disk-drive applications

Sadik Arf, paolo colletti, david moloney

IEEE Journal of Solid-state Circuits, 1995

View PDFchevron_right